IP Force 特許公報掲載プロジェクト 2022.1.31 β版

知財求人 - 知財ポータルサイト「IP Force」

▶ 台湾積體電路製造股▲ふん▼有限公司の特許一覧

特開2023-168319改良された耐久特性を提供するためのポストパターン化処理されたメモリ膜を有する抵抗変化型ランダムアクセスメモリ、及びその形成方法
(19)【発行国】日本国特許庁(JP)
(12)【公報種別】公開特許公報(A)
(11)【公開番号】P2023168319
(43)【公開日】2023-11-24
(54)【発明の名称】改良された耐久特性を提供するためのポストパターン化処理されたメモリ膜を有する抵抗変化型ランダムアクセスメモリ、及びその形成方法
(51)【国際特許分類】
   H10B 63/00 20230101AFI20231116BHJP
   H10N 70/20 20230101ALI20231116BHJP
【FI】
H10B63/00
H10N70/20
【審査請求】有
【請求項の数】10
【出願形態】OL
(21)【出願番号】P 2023079496
(22)【出願日】2023-05-12
(31)【優先権主張番号】63/341,535
(32)【優先日】2022-05-13
(33)【優先権主張国・地域又は機関】US
(31)【優先権主張番号】17/887,614
(32)【優先日】2022-08-15
(33)【優先権主張国・地域又は機関】US
(71)【出願人】
【識別番号】500262038
【氏名又は名称】台湾積體電路製造股▲ふん▼有限公司
【氏名又は名称原語表記】Taiwan Semiconductor Manufacturing Company,Ltd.
【住所又は居所原語表記】No.8, Li-Hsin Rd.6, Hsinchu Science Park, Hsinchu, TAIWAN
(74)【代理人】
【識別番号】100102532
【弁理士】
【氏名又は名称】好宮 幹夫
(74)【代理人】
【識別番号】100194881
【弁理士】
【氏名又は名称】小林 俊弘
(74)【代理人】
【識別番号】100215142
【弁理士】
【氏名又は名称】大塚 徹
(72)【発明者】
【氏名】劉華鈞
(72)【発明者】
【氏名】宋福庭
(72)【発明者】
【氏名】チェン シアウェイ
(72)【発明者】
【氏名】リャオ ユーウェン
(72)【発明者】
【氏名】朱文定
【テーマコード(参考)】
5F083
【Fターム(参考)】
5F083FZ10
5F083GA06
5F083GA10
5F083GA27
5F083HA02
5F083JA36
5F083JA37
5F083JA38
5F083JA39
5F083JA40
5F083JA56
5F083JA60
5F083MA06
5F083MA16
5F083MA19
5F083NA01
5F083PR37
(57)【要約】
【課題】改良された耐久特性を提供するためのポストパターン化処理されたメモリ膜を有する抵抗変化型ランダムアクセスメモリ、及びその形成方法を提供する。
【解決手段】第1の金属材料を含む第1の電極と、少なくとも1つの誘電体金属酸化物材料を含み、第1の電極に接触するメモリ膜と、第2の金属材料を含み、メモリ膜に接触する第2の電極と、を含む半導体構造である。メモリ膜は、不動態化元素と酸素との第1の平均原子比が0.01未満である中央領域と、不動態化元素と酸素との第2の平均原子比が0.05よりも大きい周辺領域と、を含む。
【選択図】図22
【特許請求の範囲】
【請求項1】
第1の金属材料を含む第1の電極と、
少なくとも1つの誘電体金属酸化物材料を含み、前記第1の電極に接触し、不動態化元素と酸素との第1の平均原子比が0.01未満である中央領域と、前記不動態化元素と酸素との第2の平均原子比が0.05よりも大きい周辺領域と、を含み、前記不動態化元素は、フッ素及び窒素から選ばれるメモリ膜と、
第2の金属材料を含み、前記メモリ膜に接触する第2の電極と、
を含む半導体構造。
【請求項2】
前記第1の電極と前記メモリ膜との間の第1の界面は、水平セグメントを含み、且つ
前記メモリ膜の側壁は前記第1の電極の側壁に垂直に重なり合う請求項1に記載の半導体構造。
【請求項3】
前記メモリ膜の前記側壁は前記第2の電極の側壁に垂直に重なり合う請求項2に記載の半導体構造。
【請求項4】
前記第2の電極を横方向に囲む絶縁スペーサを更に含み、前記絶縁スペーサの外側壁は前記メモリ膜の前記側壁に垂直に重なり合う請求項2に記載の半導体構造。
【請求項5】
前記メモリ膜の前記周辺領域は、不動態化元素の濃度勾配を有することにより、前記不動態化元素の原子濃度が前記メモリ膜の表面からの距離に応じて減少する請求項1~4の何れか一項に記載の半導体構造。
【請求項6】
前記第1の電極の下に位置し、水平延在部分と、前記第1の電極の側壁に垂直に重なり合う側壁を含み、前記水平延在部分の周辺と隣接する底部周辺を有する垂直突出部と、を含むエッチング・ストップ誘電体材料層を更に含み、
前記エッチング・ストップ誘電体材料層は、平面視において前記第1の電極の領域内を貫通する開口を含む請求項1~4の何れか一項に記載の半導体構造。
【請求項7】
個別の第1の電極と、個別のメモリ膜と、基板で被覆された個別の第2の電極と、を含むメモリセルの二次元アレイを含む半導体構造であって、
前記メモリセルの二次元アレイ内の各前記個別のメモリ膜は、
不動態化元素と酸素との原子比が0.01未満である個別の中央領域と、
前記不動態化元素と酸素との前記原子比が0.05よりも大きい個別の周辺領域と、を含み、前記不動態化元素は、フッ素及び窒素から選ばれる半導体構造。
【請求項8】
前記基板に位置し、その中の各アクセストランジスターが前記メモリセルの二次元アレイ内の各メモリセルに電気的に接続されるアクセストランジスターの二次元アレイと、
複数の誘電体材料層内に位置し、前記アクセストランジスターの二次元アレイと前記メモリセルの二次元アレイとの間に電気的接続を提供する金属相互接続構造と、
を更に含む請求項7に記載の半導体構造。
【請求項9】
第1の電極と、非化学量論的酸素欠乏誘電体金属酸化物材料を含む少なくとも1つのメモリ膜と、第2の電極と、を含む積み重ねを基板の上方に形成する工程と、
前記メモリ膜の周辺領域において不動態化元素と酸素との平均原子比が0.01未満の第1の数から0.05よりも大きい第2の数まで増加するように、前記積み重ねに不動態化プラズマ処理を実行する工程と、
を含み、
前記不動態化元素は、フッ素及び窒素から選ばれる半導体構造の形成方法。
【請求項10】
第1の電極層、メモリ材料層、及び第2の電極層を前記基板に形成する工程と、
前記第2の電極層、前記メモリ材料層、及び前記第1の電極層をパターン化する工程と、
を更に含み、
前記第1の電極は前記第1の電極層のパターン化部を含み、
前記メモリ膜は前記メモリ材料層のパターン化部を含み、
前記第2の電極は前記第2の電極層のパターン化部を含む請求項9に記載の方法。
【発明の詳細な説明】
【技術分野】
【0001】
本開示は、半導体構造及びその形成方法に関する。
【背景技術】
【0002】
幾つかのタイプの抵抗変化型メモリデバイスは、酸素欠損を含む導電経路が形成された誘電体金属酸化物材料を使用している。導電経路は、誘電体金属酸化物材料上に提供される2つの電極に電気バイアスを印加することによって形成又は消去することができ、導電経路の有無に応じて2つの電極間に異なる抵抗値を提供することによって情報を記憶することができる。
【発明の概要】
【0003】
本開示の様々な実施例によると、第1の金属材料を含む第1の電極と、少なくとも1つの誘電体金属酸化物材料を含み、第1の電極に接触し、不動態化元素と酸素との第1の平均原子比が0.01未満である中央領域と、不動態化元素と酸素との第2の平均原子比が0.05よりも大きい周辺領域と、を含むメモリ膜と、第2の金属材料を含み、メモリ膜に接触する第2の電極と、を含む半導体構造を提供する。一つの実施例において、不動態化元素は、フッ素及び窒素から選ばれる。
【0004】
本開示の別の態様によると、メモリセルの二次元アレイを含む半導体構造であって、メモリセルは、基板8で被覆される個別の第1の電極と、個別のメモリ膜と、個別の第2の電極と、を含み、二次元アレイ積み重ね内の各メモリ膜は、不動態化元素と酸素との平均原子比が0.01未満である個別の中央領域と、不動態化元素と酸素との平均原子比が0.05よりも大きい個別の周辺領域と、を含む、半導体構造を提供する。一つの実施例において、不動態化元素は、フッ素及び窒素から選ばれる。
【0005】
本開示の様々な実施例によると、第1の電極と、非化学量論的酸素欠乏誘電体金属酸化物材料を含む少なくとも1つのメモリ膜と、第2の電極と、を含む積み重ねを基板の上方に形成する工程と、メモリ膜の周辺領域において不動態化元素と酸素との平均原子比が0.01未満の第1の数から0.05よりも大きい第2の数まで増加するように、積み重ねに不動態化プラズマ処理を実行する工程と、を含み、不動態化元素は、フッ素及び窒素から選ばれる半導体構造の形成方法を提供する。
【図面の簡単な説明】
【0006】
本開示の態様は、添付図面と共に検討される場合、以下の詳細な説明から最適に理解される。注意すべきなのは、業界の標準仕様では、様々な特徴が比例して描画されないことである。実際には、様々な特徴のサイズは、明確にするために、任意に増減されてよい。
図1】本開示の実施例による相補型金属酸化物半導体(complementary metal-oxide-semiconductor;CMOS)トランジスターと、下部レベル誘電体材料層、及び下部レベルビアホール誘電体層に形成された下部レベル金属相互接続構造とが形成された後の第1の例示的な構造の垂直断面図である。
図2A】本開示の実施例による底部接続ビアホール構造が形成された後の第1の例示的な構造の垂直断面図である。
図2B図2Aの第1の例示的な構造の平面図である。
図2C図2Aにおける領域Cの拡大図である。
図3】本開示の実施例によるエッチング・ストップ誘電体材料層及びパターン化フォトレジスト層が形成された後の第1の例示的な構造の領域Cの拡大図の垂直断面図である。
図4】本開示の実施例によるエッチング・ストップ誘電体材料層をパターン化して、少なくとも1つの底部金属バリア層、底部電極層、少なくとも1つの誘電体金属酸化層、頂部電極層、少なくとも1つの頂部金属バリア層、及びハードシールド層を含む層積み重ねを堆積した後の第1の例示的な構造の領域Cの拡大図の垂直断面図である。
図5】本開示の実施例によるパターン化フォトレジスト層が形成された後の第1の例示的な構造の領域Cの拡大図の垂直断面図である。
図6A】本開示の実施例によるメモリセルアレイが形成された後の、第1の例示的な構造のメモリセルを含む領域Cの拡大図の垂直断面図である。
図6B図6Aの処理工程での第1の例示的な構造の平面図である。
図7】本開示の実施例による不動態化プラズマ処理プロセスが実行された後の、第1の例示的な構造のメモリセルを含む領域Cの拡大図の垂直断面図である。
図8】処理されていない酸化ハフニウム表面由来、及び不動態化元素を含むプラズマで処理された酸化ハフニウム表面由来の例示的なX線光電子分光データを示す。
図9A】本開示の実施例による上部ビアホールレベル誘電体材料層及び接続ビアホール構造が形成された後の第1の例示的な構造の垂直断面図である。
図9B図9Aの処理工程での第1の例示的な構造の領域の拡大図である。
図10A】本開示の実施例によるビット線が形成された後の第1の例示的な構造の垂直断面図である。
図10B図10Aの第1の例示的な構造の平面図である。
図11】本開示の実施例による第1の例示的な構造の代替的な構成を含むメモリセルの領域の垂直断面図である。
図12】本開示の実施例によるエッチング・ストップ誘電体材料層、底部金属バリアビアホール構造、底部電極層、少なくとも1つの誘電体金属酸化層、頂部電極層、少なくとも1つの頂部金属バリア層、及ハードシールド層が形成された後の第2の例示的な構造の領域の垂直断面図である。
図13】本開示の実施例によるパターン化フォトレジスト層が形成された後の第2の例示的な構造の領域の垂直断面図である。
図14】本開示の実施例によるハードシールド構造及び頂部電極が形成された後の第2の例示的な構造の領域の垂直断面図である。
図15】本開示の実施例による誘電体スペーサが形成された後の第2の例示的な構造の領域の垂直断面図である。
図16】本開示の実施例によるメモリ膜及び底部電極が形成された後の第2の例示的な構造の領域の垂直断面図である。
図17A】本開示の実施例による上部ビアホール用誘電体材料層及び接続ビアホール構造が形成された後の第2の例示的な構造の垂直断面図である。
図17B図17Aの処理工程での第2の例示的な構造の領域の拡大図である。
図18A】本開示の実施例によるビット線が形成された後の第2の例示的な構造の垂直断面図である。
図18B図18Aの第2の例示的な構造の平面図である。
図19】本開示の実施例による第2の例示的な構造の第1の代替的な構成を含むメモリセルの領域の垂直断面図である。
図20】本開示の実施例による第2の例示的な構造の第2の代替的な構成を含むメモリセルの領域の垂直断面図である。
図21】本開示の実施例による第2の例示的な構造の第3の代替的な構成を含むメモリセルの領域の垂直断面図である。
図22】本開示の半導体装置を製造するための一般的な処理工程を示すフロー図である。
【発明を実施するための形態】
【0007】
以下の開示内容は、提供された目的物の異なる特徴を実施するための多くの異なる実施例又は実例を提供する。以下、本開示を簡略化するために、組立部品及び配置の特定の例について説明する。勿論、これらは、例に過ぎず、制限的なものではない。例えば、以下の説明では、第1の特徴は第2の特徴の上方又は第2の特徴に形成されることは、第1の特徴が第2の特徴と直接接触するように形成される実施例を含んでもよく、第1の特徴が第2の特徴に直接接触しないように追加の特徴が第1の特徴と第2の特徴との間に形成されてもよい実施例を含んでもよい。なお、本開示は、様々な例では、数字及び/又は文字を繰り返して参照することができる。この重複は単純化及び明確化を目的とし、記述された様々な実施例及び/又は構成の間の関係を示すものではない。
【0008】
なお、説明の便宜上、本明細書では、「~の下方にある」、「~の下にある」、「下部」、「~の上にある」、「上部」及び類似のもの等の空間相対用語を使用して、図中に示す1つの素子又は特徴と他の(複数の)素子又は特徴との関係を説明することができる。空間相対用語は、図に示されている方向以外の装置が使用又は操作する際に異なる方向をカバーすることを意図する。装置は、別の方向(90度回転又は他の方向)に向けることができ、且つ本文に使用される空間相対記述子は同様に解釈されることができる。同一の参照番号を有する素子とは、同一の素子を指し、別段の明示がない限り、同一の材料組成及び同一の厚さ範囲を有すると仮定する。
【0009】
抵抗変化型メモリセルは、抵抗変化型メモリ材料を含み、その抵抗率がプログラム化条件に応じて変化する。1つのタイプのメモリセルは、高誘電率(高k)金属酸化物材料を使用し、その中に導電性糸状体を形成することができる。導電性糸状体は、酸素原子移動によって形成された連続した酸素欠乏領域を含んでもよい。このような酸素欠損の導電性糸状体は、結晶欠陥であると考えられるが、このような酸素欠損の導電性糸状体は、適切な電気バイアス電圧を印加することによってプログラム化することができる。また、このような酸素欠損の導電性糸状体は、逆方向の電気バイアス電圧を印加することによって消去することができる。したがって、酸素欠損の導電性糸状体の存在又は非存在は、抵抗変化型メモリセル内のバイナリデータビットを符号化するために使用されることができる。
【0010】
製造プロセス中、金属酸化物材料の実体露出表面セグメントは、エッチャントイオンによって付随的に損傷される恐れがあり、酸素欠乏導電性糸状体を形成しやすく、酸素欠乏導電性糸状体の除去を不利にする条件を提供する。このような表面セグメントは、抵抗変化型メモリセルの耐久性を低下させ、例えば、プログラム化と消去のサイクルが200000回以下となり、抵抗変化型メモリセルのリーク電流の増加を引き起こすことがある。
【0011】
酸素欠損糸状体は、最も強い電界を有する位置に非常に形成しやすい可能性がある。シミュレーションにより、酸素欠損糸状体は誘電体金属酸化物薄膜の側壁の下の表面領域上に非常に形成しやすい可能性があることが示される。誘電体金属酸化物材料の中央領域における酸素欠損は、抵抗変化型メモリデバイスに安定した電気的特性を提供するが、誘電体金属酸化物材料のエッチング表面に形成される酸素欠損は、エッチングプロセスの間に生じた損傷によって電気的特性が変化する傾向があり、抵抗変化型メモリデバイスの電気的特性の変動性を増加させる。
【0012】
本開示の態様によると、フッ素含有プラズマ又は窒素含有プラズマを用いたプラズマ処理により、プログラム化電圧範囲外の誘電体金属酸化物メモリ膜の表面領域において異方性エッチングプロセスの間に導入された結晶欠陥により形成された酸素欠損糸状体を抑制することができる。酸素含有量が誘電体金属酸化物メモリ膜の中央領域よりも少ない表面不動態化層が形成される。表面不動態化層は、酸素を含まなくてもよいし、酸素を含んでいてもよい。表面不動態化層内の酸素欠損糸状体の形成が抑制される。100000回を超えるプログラム化と消去のサイクルのようなメモリセルの耐久性を強化することができ、また、メモリ膜の表面領域に酸素欠損糸状体が不足することにより、メモリセルを通したリーク電流を減少させることができる。本開示では、抵抗変化型メモリセルを使用して説明するが、本開示の構造及び方法は、導電性ブリッジ式ランダムアクセスメモリデバイスにも適用可能であり、且つ本明細書ではこのような応用を明確に想定している。ここで、添付の図面を参照して、本開示の各態様を詳細に説明する。
【0013】
本開示の第1の実施例による第1の例示的な構造を示す図1を参照する。第1の例示的な構造は、市販のシリコン基板のような半導体基板であってもよい基板8を含む。基板8は、少なくともその上部部分での半導体材料層9を含むことができる。半導体材料層9は、バルク半導体基板の表面セグメントであってもよく、又は、絶縁体上半導体(semiconductor-on-insulator;SOI)基板の頂部半導体層であってもよい。一つの実施例において、半導体材料層9は、単結晶シリコンのような単結晶半導体材料を含む。一つの実施例において、基板8は、単結晶シリコン材料を含む単結晶シリコン基板を含んでもよい。
【0014】
酸化ケイ素のような誘電体材料を含む浅溝分離構造720は、半導体材料層9の上部部分に形成することができる。p型ウェル及びn型ウェル等の適切なドープ半導体ウェルは、浅溝分離構造720の一部により横方向に囲まれた各領域内に形成することができる。電界効果トランジスター(70A、701)は、半導体材料層9の上面の上方に形成することができる。電界効果トランジスター(70A、701)は、アクセストランジスター70Aのアレイを含むことができ、アクセストランジスター70Aは、後に形成されるメモリセルの二次元アレイ内の各メモリセルを個別にアクセスするために用いられる。また、電界効果トランジスター(70A、701)は、周辺回路内の周辺電界効果トランジスター701を含むことができる。例えば、周辺電界効果トランジスター701は、後に形成されるビット線にビット線バイアス電圧を印加するためのビット線ドライバ、及び読み出し動作中にビット線を通って流れる電流を検出するためのセンスアンプを含むことができる。
【0015】
例えば、各電界効果トランジスター(70A、701)は、ソース電極732と、ドレイン電極738と、ソース電極732とドレイン電極738との間に延在する基板8の表面セグメントを含む半導体チャネル735と、ゲート構造750とを含んでもよい。半導体チャネル735は、単結晶半導体材料を含んでもよい。各ゲート構造750は、ゲート誘電体層752、ゲート電極754、ゲートキャップ誘電体758、及び誘電体ゲートスペーサ756を含んでもよい。各ソース電極732の上にソース側金属半導体合金領域742が形成されてもよく、各ドレイン電極738の上にドレイン側金属半導体合金領域748が形成されてもよい。
【0016】
第1の例示的な構造は、後でメモリセルアレイが形成可能なメモリアレイ領域100を含んでもよい。第1の例示的な構造は、メモリデバイスアレイのための金属配線が提供される周辺領域200を更に含んでもよい。一般に、CMOS回路システム700内のアクセストランジスター70Aは、後で金属相互接続構造の個々が集まって形成される個別のメモリセルの電極に電気的に接続され得る。
【0017】
周辺領域200内の装置(例えば、周辺電界効果トランジスター701)は、後で形成されるメモリセルアレイを操作する機能を提供することができる。具体的には、周辺領域内の装置は、メモリセルアレイのプログラム化動作、消去動作、及び感知(読み出し)動作を制御するために使用され得る。例えば、周辺領域内の装置は、感知回路システム及び/又はプログラム化回路システムを含んでもよい。半導体材料層9の上面に形成された装置は、相補型金属酸化物半導体(complementary metal-oxide-semiconductor;CMOS)トランジスター及び選択可能な追加の半導体装置(例えば、抵抗器、ダイオード、コンデンサ等)を含んでもよく、これらは、CMOS回路システム700と総称される。
【0018】
CMOS回路システム700内の電界効果トランジスター(70A、701)のうちの1つ又は複数は、基板8内の半導体材料層9の一部を含む半導体チャネル735を含むことができる。半導体材料層9は、単結晶シリコンのような単結晶半導体材料を含む場合、CMOS回路システム700内の各電界効果トランジスター(70A、701)の半導体チャネル735は、単結晶シリコンチャネルのような単結晶半導体チャネルを含むことができる。一つの実施例において、CMOS回路システム700内の複数のアクセストランジスター70Aは、後で形成される個別のメモリセルのノードにその後で電気的に接続される個別のノードを含んでもよい。
【0019】
一つの実施例において、基板8は、単結晶シリコン基板を含んでもよく、電界効果トランジスター701は、半導体チャネルとしての単結晶シリコン基板の個別の部分を含んでもよい。本明細書で使用されるように、「半導体」素子とは、1.0×10-6S/cmから1.0×10S/cmの範囲内の導電率を有する素子を指す。本明細書で使用されるように、「半導体材料」とは、ドーパントが存在しない場合に1.0×10-6S/cmから1.0×10S/cm範囲内の導電率を有し、ドーパントが適切にドーピングされる場合に1.0S/cmから1.0×10S/cmの範囲内の導電率を生じ得る材料を指す。
【0020】
誘電体材料層内に形成された様々な金属相互接続構造は、後で基板8及びその上の半導体装置(例えば、電界効果トランジスター(70A、701))の上方に形成され得る。例示的な例では、誘電体材料層は、例えば、ソース及びドレインに接続される接触構造を囲む層(接触レベル誘電体材料層601と呼ばれることもある)であってもよい第1の誘電体材料層601と、第1の相互接続レベル誘電体材料層610と、第2の相互接続レベル誘電体材料層620とを含んでもよい。金属相互接続構造は、第1の誘電体材料層601に形成され、CMOS回路システム700の個別の素子に接触する装置接触ビアホール構造612と、第1の相互接続レベル誘電体材料層610に形成された第1の金属配線構造618と、第2の相互接続レベル誘電体材料層620の下部部分に形成された第1の金属ビアホール構造622と、第2の相互接続レベル誘電体材料層620の上部部分に形成された第2の金属配線構造628と、第3の相互接続レベル誘電体材料層630の下部部分に形成された第2の金属ビアホール構造632と、第3の相互接続レベル誘電体材料層630の上部部分に形成された第3の金属配線構造638とを含んでもよい。
【0021】
誘電体材料層(601、610、620、630)の各々は、アンドープケイ酸塩ガラス、ドープケイ酸塩ガラス、有機ケイ酸塩ガラス、非晶質フッ素化炭素、その多孔質変異体、又はこれらの組合せのような誘電体材料を含むことができる。金属相互接続構造(612、618、622、628、632、638)の各々は、金属ライニング(例えば、金属窒化物又は金属炭化物)と金属充填材料との組合せであってもよい少なくとも1つの導電性材料を含むことができる。各金属ライニングは、TiN、TaN、WN、TiC、TaC、及びWCを含むことができ、各金属充填材料部分は、W、Cu、Al、Co、Ru、Mo、Ta、Ti、これらの合金、及び/又はこれらの組合せを含むことができる。開示内容の想定範囲内の他の適切なライニング及び金属充填材料を使用してもよい。一つの実施例において、第1の金属ビアホール構造622及び第2の金属配線構造628は、デュアルダマシンプロセスによって統合された配線及びビアホール構造に形成されてもよい。同様に、第2の金属ビアホール構造632及び第3の金属配線構造638は、デュアルダマシンプロセスによって統合された配線及びビアホール構造に形成されてもよい。本明細書では、誘電体材料層(601、610、620、630)は、下部-下部レベル誘電体材料層と呼ばれる。下部-下部レベル誘電体材料層に形成された金属相互接続構造(612、618、622、628、632、638)は、下部レベル金属相互接続構造と呼ばれる。
【0022】
本開示では、メモリセルアレイが第3の配線-ビアホールレベル誘電体材料層630の上方に形成され得る実施例を用いて説明するが、メモリセルアレイが異なる金属相互接続レベルに形成され得る実施例を本明細書において明確に想定する。
【0023】
一つの実施例において、均一な厚さを有する平面誘電体材料層を下部レベル誘電体材料層(601、610、620、630)の上方に形成してもよい。本明細書では、平面誘電体材料層は、下部ビアホールレベル誘電体層645と呼ばれる。下部ビアホールレベル誘電体層645は誘電体材料を含む。一つの実施例において、下部ビアホールレベル誘電体層645は、超低誘電率(extremely low-k;ELK)誘電体材料を含んでもよい。一つの実施例において、下部ビアホールレベル誘電体層645は、アンドープケイ酸塩ガラス、ドープケイ酸塩ガラス、有機ケイ素ガラス、多孔質誘電体材料、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、酸炭化ケイ素、炭化窒化ケイ素から選ばれる少なくとも1つの誘電体材料を含み、及び/又は実質的にそれらからなる。下部ビアホールレベル誘電体層645は、例えば化学気相堆積によって堆積してもよい。下部ビアホールレベル誘電体層645の厚さは、20nmから300nmの範囲内であってもよいが、それよりも小さい又は大きい厚さを使用してもよい。
【0024】
一般に、相互接続レベル誘電体層(例えば、下部レベル誘電体材料層(601、610、620、630))内に埋め込まれた金属相互接続構造(本明細書では下部レベル金属相互接続構造(612、618、622、628、632、638)と呼ばれる)は、半導体装置の上方に形成され得る。下部ビアホールレベル誘電体層645は、相互接続レベル誘電体層の上方に形成され得る。
【0025】
図2Aから図2Cを参照し、下部ビアホールレベル誘電体層645の上方にフォトレジスト層(図示せず)をかけることができ、開口の二次元アレイを形成するようにリソグラフィーパターン化を行うことができる。開口の二次元アレイは、第1の水平方向hd1に沿った第1のピッチを有してもよく、第2の水平方向hd2に沿った第2のピッチを有し得る。フォトレジスト層内の開口の各々は、円形、卵形、楕円形、長方形、丸角長方形、又は閉じた周辺を有する任意の他の二次元曲線状の水平断面形状を有してもよい。下部ビアホールレベル誘電体層645を介してフォトレジスト層内の開口のパターンを転写するために異方性エッチングプロセスを実行することができる。個別の下部レベル金属相互接続構造の上面(例えば、図示した実例における個別の第3の金属配線構造638の上面)は、下部ビアホールレベル誘電体層645を介して各開口の底部に実体的に露出することができる。その後、フォトレジスト層は、例えば、アッシングによって除去することができる。
【0026】
開口の二次元アレイは、少なくとも1つの金属充填材料によって充填されてもよい。一つの実施例において、少なくとも1つの金属充填材料は、金属バリア材料を含む金属ライニング層と、金属充填材料を含む金属充填材料層との組合せを含んでもよい。金属ライニング層は、TiN、TaN、WN、TiC、TaC、WC、又はその積み重ねのような金属バリア材料を含んでもよく、物理気相堆積又は化学気相堆積によって堆積してもよい。金属ライニング層の厚さは、1nmから30nmの範囲内であってもよいが、それよりも小さい又は大きい厚さを使用してもよい。金属充填材料層は、W、Cu、Al、Co、Ru、Mo、Ta、Ti、これらの合金、及び/又はこれらの組合せを含むことができる。化学機械研磨(chemical mechanical polishing;CMP)プロセスのような平坦化プロセスを実行して、金属ライニング層、及び金属充填材料層の、下部ビアホールレベル誘電体層645の上面を含む水平面で被覆される部分を除去することができる。
【0027】
少なくとも1つの金属材料のそれぞれの残りの部分は、個別の下部レベル金属相互接続構造(例えば、図示した実例における個別の第3の金属配線構造638の上面)と電気的に接触する底部接続ビアホール構造15を含む。底部接続ビアホール構造15の二次元アレイは、下部ビアホールレベル誘電体層645内に形成され得る。一般に、各底部接続ビアホール構造15の水平断面形状は、閉じた周辺を有する任意の二次元形状としてもよい。例えば、底部接続ビアホール構造15の水平断面形状は、円形、卵形、楕円形、長方形、丸角長方形、又は閉じた周辺を有する任意の二次元曲線形状であってもよい。その他の形状も開示内容の想定範囲内である。底部接続ビアホール構造15の上面は、下部ビアホールレベル誘電体層645の上面と同一平面になってもよい。底部接続ビアホール構造15の第1の水平方向hd1に沿った周期性は、第1のピッチp1であってもよい。底部接続ビアホール構造15の第2の水平方向hd2に沿った周期性は、本明細書では第2のピッチp2と呼ばれる。
【0028】
図3を参照し、下部ビアホールレベル誘電体層645の上方にエッチング・ストップ誘電体材料層18を形成することができる。エッチング・ストップ誘電体材料層18は、後にメモリセルをパターン化するための異方性エッチングプロセスのエッチング・ストップ構造として使用可能な誘電体材料を含む。一つの実施例において、エッチング・ストップ誘電体材料層18は、炭化ケイ素、窒化ケイ素、又は炭化窒化ケイ素のような材料を含み、及び/又は実質的にそれらからなる。一つの実施例において、エッチング・ストップ誘電体材料層18は、炭化ケイ素を含み、及び/又は実質的にそれからなる。エッチング・ストップ誘電体材料層18の厚さは、6nmから30nm等の3nmから60nmの範囲内であってもよいが、それよりも小さい又は大きい厚さを使用してもよい。エッチング・ストップ誘電体材料層18は、例えば、化学気相堆積によって形成されてもよい。一般に、エッチング・ストップ誘電体材料層18は金属相互接続構造(612、618、622、628、632、638、15)の上方にある。
【0029】
エッチング・ストップ誘電体材料層18の上方には、フォトレジスト層19をかけることができ、その中に開口の周期的二次元アレイを形成するようにリソグラフィーパターン化を実行することができる。フォトレジスト層19内の各開口は、下に底部接続ビアホール構造15の上面がある個別の領域内に形成されてよい。開口の周期的二次元アレイは、底部接続ビアホール構造15の二次元アレイと同じ周期性を有し得る。
【0030】
図4を参照し、エッチング・ストップ誘電体材料層18を介してフォトレジスト層19内の開口のパターンを転写するために異方性エッチングプロセスを実行することができる。エッチング・ストップ誘電体材料層18を介して開口の二次元アレイを形成することができる。その後、フォトレジスト層19は、例えば、アッシングによって除去することができる。底部接続ビアホール構造15の上面は、エッチング・ストップ誘電体材料層18によって各開口の底部に実体的に露出させることができる。
【0031】
その後、エッチング・ストップ誘電体材料層18及び底部接続ビアホール構造15の実体露出表面の上方には、材料層の層積み重ねを堆積することができる。本開示の態様によると、層積み重ねは、下から上に向かって、少なくとも1つの選択的な底部金属バリア層22L、第1の電極層24L、メモリ材料層26L、第2の電極層28L、少なくとも1つの選択的な頂部金属バリア層29L、及びハードシールド層30Lを含むことができる。全体として、少なくとも1つの選択的な底部金属バリア層22L、第1の電極層24L、メモリ材料層26L、第2の電極層28L、少なくとも1つの選択的な頂部金属バリア層29Lを含む層積み重ねは、メモリセル積み重ね20Lを示すことができる。
【0032】
少なくとも1つの選択的な底部金属バリア層22Lは、窒化チタン、窒化タンタラム、窒化タングステン、窒化モリブデン、チタン、タンタラム、及び/又はタングステンのような少なくとも1つの金属バリア材料を含む。例示的な例において、少なくとも1つの選択的な底部金属バリア層22Lは、下から上に向かって、第1の底部金属バリア層221L、第2の底部金属バリア層222L、及び第3の底部金属バリア層223Lを含む層積み重ねを含んでもよい。例示的な例において、第1の底部金属バリア層221Lは、窒化チタンを含んでもよく、第2の底部金属バリア層222Lは、タンタラムを含んでもよく、第3の底部金属バリア層223Lは、窒化タンタラムを含んでもよい。少なくとも1つの底部金属バリア層22Lの合計厚さは、6nmから30nm等の3nmから60nmの範囲内であってもよいが、それよりも小さい又は大きい厚さを使用してもよい。少なくとも1つの底部金属バリア層22Lの各々は、物理気相堆積又は化学気相堆積によって堆積することができる。
【0033】
第1の電極層24L(底部電極層とも呼ばれる)は、窒化チタン、タンタラム、タングステン、プラチナ、ルテニウム、イリジウム、モリブデン、ニオブ、レニウム、オスミウム、又は1500セルシウス度より大きい溶融温度を有する他の元素金属のような金属材料を含む。第1の電極層24Lの厚さは、6nmから30nm等の3nmから60nmの範囲内であってもよいが、それよりも小さい又は大きい厚さを使用してもよい。第1の電極層24Lは、物理気相堆積又は化学気相堆積によって堆積することができる。
【0034】
メモリ材料層26Lは、少なくとも1つの誘電体金属酸化層(261L、262L)を含む。本開示の態様によると、少なくとも1つの誘電体金属酸化層(261L、262L)の各々は、少なくとも1つの糸状誘電体金属酸化物材料を含み、及び/又は実質的にそれからなる。本明細書で使用されるように、糸状誘電体金属酸化物材料とは、電気バイアスを印加する時に酸素欠乏領域糸状体(即ち、酸素欠乏糸状体)を形成可能な誘電体金属酸化物材料を指し、電気バイアスにより生じた電界は、個別の臨界電界の強度よりも大きい値を有する。一つの実施例において、少なくとも1つの誘電体金属酸化層(261L、262L)における少なくとも1つの糸状誘電体金属酸化物材料の各々は、非化学量論的酸素欠乏誘電体金属酸化物材料である。
【0035】
例示的な例において、少なくとも1つの誘電体金属酸化層(261L、262L)は、第1の誘電体金属酸化層261Lと第2の誘電体金属酸化層262Lとの層積み重ねを含んでもよい。第1の誘電体金属酸化層261Lは、少なくとも1つの第1の金属の誘電体金属酸化物を含む第1の誘電体金属酸化物材料を含み、及び/又は実質的にそれからなる。第2の誘電体金属酸化層262Lは、少なくとも1つの第2の金属の誘電体金属酸化物を含む第2の誘電体金属酸化物材料を含み、及び/又は実質的にそれからなる。第2の誘電体金属酸化物材料は、第1の誘電体金属酸化物材料と材料組成が異なってもよい。一つの実施例において、少なくとも1つの第2の金属は、少なくとも1つの第1の金属に存在しない金属元素が存在すること、又は少なくとも1つの第1の金属に存在する金属元素が存在しないことによって、少なくとも1つの第1の金属と異なる。
【0036】
一つの実施例において、少なくとも1つの誘電体金属酸化層(261L、262L)における誘電体金属酸化物材料のうちの1つ、複数、及び/又は各々は、個別の糸状金属酸化物材料を含んでもよく、及び/又はそれより構成されてもよく、糸状金属酸化物材料は、二元酸化物材料であり、即ち、単一金属元素と酸素との化合物である。例えば、少なくとも1つの誘電体金属酸化層(261L、262L)のうちの1つ、複数、及び/又は各々は、HfO2(1-α)、Ta5(1-β)、及びY3(1-γ)から選ばれる材料を含んでもよく、及び/又はそれより構成されてもよい。この実施例において、α、β、及びγは、それぞれ独立して1.0×10-6から1.0×10-1の範囲内であってもよい。
【0037】
一つの実施例において、少なくとも1つの誘電体金属酸化層(261L、262L)における誘電体金属酸化物材料のうちの1つ、複数、及び/又は各々は、個別の糸状金属酸化物材料を含んでもよく、及び/又はそれより構成されてもよく、糸状金属酸化物材料は、三元酸化物材料であり、即ち、2つの金属元素と酸との化合物である。このような三元糸状金属酸化物材料の非限定的な例として、ケイ酸ハフニウム(HfSiO)、ジルコン酸ハフニウム(HfZrO)、チタン酸バリウム(BaTiO)、チタン酸鉛(PbTiO)、チタン酸ストロンチウム(SrTiO)、マンガン酸カリウム(CaMnO)、鉄酸ビスマス(BiFeO)、ドープHfO(Si、Zr、Y、Al、Gd、Sr、La、Sc、Ge等から選ばれるドーパントを含む)、及びHfO2(1-α)と、Ta5(1-β)と、Y3(1-γ)との合金を含む。
【0038】
一つの実施例において、少なくとも1つの誘電体金属酸化層(261L、262L)における誘電体金属酸化物材料のうちの複数、及び/又は各々は、個別の糸状金属酸化物材料を含んでもよく、及び/又はそれより構成されてもよく、糸状金属酸化物材料は、四元酸化物材料であり、即ち、3つの金属元素と酸素との化合物である。このような糸状金属四元酸化物材料の非限定的な例として、ジルコニウムチタン酸鉛(PZT:PbZrTi)、チタン酸バリウムストロンチウム(BaSrTiO)、タンタラム酸ストロンチウムビスマス(SBT:SrBiTa)、及び上記に挙げられた二元糸状金属酸化物材料及び/又は三元糸状金属酸化物材料の合金を含む。
【0039】
一般に、この処理工程において形成された少なくとも1つの誘電体金属酸化層(261L、262L)は、フッ素原子及び窒素原子を含まなくてもよく、及び/又は微量のフッ素原子又は窒素原子(例えば、原子濃度が0.1百万分点未満)のみを含んでもよい。少なくとも1つの誘電体金属酸化層(261L、262L)は、物理気相堆積又は化学気相堆積のような当技術分野で知られている任意の適切な堆積プロセスによって形成されてもよい。メモリ材料層26Lの厚さは、6nmから30nm等の4nmから60nmの範囲内であってもよいが、それよりも小さい又は大きい厚さを使用してもよい。メモリ材料層は、第1の誘電体金属酸化層261Lと第2の誘電体金属酸化層262Lとの層積み重ねのような、複数の誘電体金属酸化層の層積み重ねを含む実施例において、各誘電体酸化層(261L又は262L)の厚さは、1nmから50nmの範囲内であってもよく、それよりも小さい又は大きい厚さを使用してもよい。
【0040】
第2の電極層28L(頂部電極層とも呼ばれる)は、窒化チタン、タンタラム、タングステン、プラチナ、ルテニウム、イリジウム、モリブデン、ニオブ、レニウム、オスミウム、又は1500セルシウス度より大きい溶融温度を有する他の元素金属のような金属材料を含む。第2の電極層28Lの厚さは、6nmから30nm等の3nmから60nmの範囲内であってもよいが、それよりも小さい又は大きい厚さを使用してもよい。第2の電極層28Lは、物理気相堆積又は化学気相堆積によって堆積することができる。
【0041】
少なくとも1つの選択的な頂部金属バリア層29Lは、窒化チタン、窒化タンタラム、窒化タングステン、窒化モリブデン、チタン、タンタラム、及び/又はタングステンのような少なくとも1つの金属バリア材料を含む。例示的な例において、少なくとも1つの選択的な頂部金属バリア層29Lは、層積み重ねを含んでもよく、下から上に向かって、第1の頂部金属バリア層291L及び第2の頂部金属バリア層292Lを含む。例示的な例において、第1の頂部金属バリア層291Lは、窒化チタンを含んでもよく、第2の頂部金属バリア層292Lは、窒化タンタラムを含んでもよい。少なくとも1つの頂部金属バリア層29Lの合計厚さは、6nmから30nm等の3nmから60nmの範囲内であってもよいが、それよりも小さい又は大きい厚さを使用してもよい。少なくとも1つの頂部金属バリア層29Lの各々は、物理気相堆積又は化学気相堆積によって堆積することができる。
【0042】
少なくとも1つの選択的な頂部金属バリア層29Lの上方には、ハードシールド層30Lを堆積することができる。ハードシールド層30Lは、後で異方性エッチングプロセスの間に下の材料を保護可能な材料を含む。ハードシールド層30Lは、窒化チタンのような金属材料を含んでもよく、又は、酸化ケイ素、窒化ケイ素、炭化窒化ケイ素、酸窒化ケイ素、及び/又は誘電体金属酸化物(例えば、酸化チタン及び酸化アルミニウムであるが、それらに限定されない)のような誘電体材料を含んでもよい。ハードシールド層30Lの厚さは、30nmから100nm等の20nmから200nmの範囲内であってもよいが、それよりも小さい又は大きい厚さを使用してもよい。ハードシールド層30Lは、化学気相堆積又は物理気相堆積によって堆積することができる。
【0043】
層積み重ね(即ち22L、24L、26L、28L、29L、30L)内の各層のうちの1つ、複数、及び/又は各々は、エッチング・ストップ誘電体材料層18内の各開口の周りに凸面セグメントを提供するコンフォーマルプロファイルを形成することができる。
【0044】
図5を参照し、ハードシールド層30Lの上方には、フォトレジスト層をかけることができ、パターン化フォトレジスト層37を形成するようにリソグラフィーパターン化を行うことができる。パターン化フォトレジスト層37は、底部接続ビアホール構造15内の個別のもので被覆される離散的なフォトレジスト材料部分の二次元周期的アレイを含むことができる。そのため、離散的なフォトレジスト材料部分の二次元周期的アレイは、底部接続ビアホール構造15の二次元アレイと同じ二次元周期性を有し得る。離散的なフォトレジスト材料部分の各々は、面積がエッチング・ストップ誘電体材料層18内の個別の下張り開口と重なり合うことができる。一つの実施例において、離散的なフォトレジスト材料部分内の各々は、平面図(即ち、上から下へ見る図)においてエッチング・ストップ誘電体材料層18における下にある開口の周辺の横方向に対して外側にオフセットされた周辺を有し得る。
【0045】
図6A及び図6Bを参照し、パターン化フォトレジスト層37の離散的なフォトレジスト材料部分の二次元アレイのパターンは、第1の異方性エッチングプロセスを実行することによって、ハードシールド層30Lを介して転写することができる。ハードシールド層30Lは、第1の異方性エッチングプロセスによってハードシールドキャップ30の二次元アレイとしてパターン化され得る。その後、パターン化フォトレジスト層37は、例えば、アッシングによって除去することができる。或いは、パターン化フォトレジスト層37は、第1の異方性エッチングプロセスの間に並行して消耗されてもよい。或いは、パターン化フォトレジスト層37の第1の部分は、第1の異方性エッチングプロセスの間に並行して消耗されてもよく、パターン化フォトレジスト層37の残りの部分は、その後で第2の異方性エッチングプロセスの間に除去されてもよい。
【0046】
ハードシールドキャップ30の二次元アレイ内のパターンを、少なくとも1つの選択的な頂部金属バリア層29L、第2の電極層28L、メモリ材料層26L、第1の電極層24L、及び少なくとも1つの選択的な底部金属バリア層22Lを介して転写するために第2の異方性エッチングプロセスを実行することができる。少なくとも1つの選択的な頂部金属バリア層29L(使用する場合)の各パターン化部は、少なくとも1つの選択的な頂部金属バリア板29を含む。第2の電極層28Lの各パターン化部は、第2の電極28を含む。メモリ材料層26L内の各パターン化部は、メモリ膜26を含む。第1の電極層24Lの各パターン化部は、第1の電極24を含む。少なくとも1つの選択的な頂部金属バリア層29L(使用する場合)の各パターン化部は、少なくとも1つの選択的な底部金属バリア板22を含む。
【0047】
一つの実施例において、選択的な底部金属バリア板22は、第1の底部金属バリア板221(第1の底部金属バリア層221Lのパターン化部)と、第2の底部金属バリア板222(第2の底部金属バリア層222Lのパターン化部)と、第3の底部金属バリア板223(第3の底部金属バリア層223Lのパターン化部)との積み重ねを含んでもよい。メモリ膜26は、少なくとも1つの誘電体金属酸化層を含み、酸素欠乏糸状誘電体金属酸化物材料を含む。例えば、メモリ膜26は、第1の誘電体金属酸化層261(図4の処理工程で形成される第1の誘電体金属酸化層261Lのパターン化部)と第2の誘電体金属酸化層262(図4の処理工程で形成される第2の誘電体金属酸化層262Lのパターン化部)とを含む層積み重ねを含んでもよい。一つの実施例において、選択的な頂部金属バリア板29は、第1の頂部金属バリア板291(第1の頂部金属バリア層291Lのパターン化部)と、第2の頂部金属バリア板292(第2の頂部金属バリア層292Lのパターン化部)との積み重ねを含んでもよい。
【0048】
一般に、第2の電極層28L、メモリ材料層26L、及び第1の電極層24Lの、パターン化エッチングシールド層37の領域外に位置する部分は、第2の異方性エッチングプロセスの間に異方性エッチングされ得る。第2の電極層28L、メモリ材料層26L、及び第1の電極層24Lの残りの部分は、第2の電極28、メモリ膜26、及び第1の電極24を含む。
【0049】
少なくとも1つの選択的な底部金属バリア板22、第1の電極24、メモリ膜26、頂部電極28、及び少なくとも1つの選択的な頂部金属バリア板29の各接続セットは、メモリセル20を構成する。メモリセル20の二次元周期的アレイが形成され得る。メモリセル20の二次元周期的アレイは、第1の水平方向hd1に沿った第1のピッチp1、及び第2の水平方向hd2に沿った第2のピッチp2を有し得る。一つの実施例において、メモリ膜26と第1の電極24との間の各第1の界面は、水平中央セグメント、環状輪郭セグメント(第1の電極24の凸面がメモリ膜26の凹面に接触する)、及び環状輪郭セグメントの外周と隣接する水平環状セグメントを含んでもよい。メモリ膜26と第2の電極28との間の各第2の界面は、水平中央セグメント、環状輪郭セグメント(第2の電極28の凹面がメモリ膜26の凸面に接触する)、及び環状輪郭セグメントの外周と隣接する水平環状セグメントを含んでもよい。
【0050】
一つの実施例において、メモリセル20内の素子の全ての側壁は、垂直に重なり合ってもよく、即ち、同一の垂直平面内に位置してもよい。そのため、各メモリセル20において、少なくとも1つの選択的な底部金属バリア板22の1つ又は複数の側壁、第1の電極24の1つ又は複数の側壁、メモリ膜26の1つ又は複数の側壁、頂部電極28の1つ又は複数の側壁、及び少なくとも1つの選択的な頂部金属バリア板29の1つ又は複数の側壁は垂直に重なり合ってもよい。説明的な実施例において、メモリセル20は、円形の水平断面形状を有し、垂直平面は、円筒状の垂直平面であってもよい。
【0051】
一つの実施例において、第2の異方性エッチングプロセスは、エッチング・ストップ誘電体材料層18の材料に対して選択性を有するエッチング化学を利用してもよい。しかしながら、第2の異方性エッチングプロセスの端末部分において、エッチング・ストップ誘電体材料層18の頂部部分に対するパラレルエッチングが発生する可能性がある。一つの実施例において、エッチング・ストップ誘電体材料層18は、第1の電極24の各々の下にあり且つ水平延在部分と、垂直突出部の二次元アレイと、を含む。垂直突出部の各々は、第1の電極24の側壁に垂直に重なり合う側壁を含み、水平延在部分の周辺と隣接する底部周辺Pを有する。エッチング・ストップ誘電体材料層18は、平面図において第1の電極24の各々の領域内を貫通する開口を含む。
【0052】
一般に、各メモリ膜26は、メモリ材料層26Lに設けられた少なくとも1つの非化学量論的酸素欠乏誘電体金属酸化物材料を含む。しかしながら、メモリ膜26の実体露出表面には、第2の異方性エッチングプロセスの間に導入された構造及び/又は成分欠陥が存在する可能性がある。このような欠陥の幾つは、酸素欠乏糸状体の早期形成に有利な条件を提供し、例えば、臨界電界量よりも小さい値を有する電界の下で、酸素欠乏糸状体の除去に不利である(そのため、欠陥のない誘電体金属酸化物の酸素欠乏糸状体を消去するのに十分であるべき電界を印加しても、酸素欠乏糸状体を完全に除去できない)。また、このような欠陥は、通常の動作条件では、メモリセル20のリーク経路として機能する。
【0053】
図7を参照し、本開示の態様によると、不動態化プラズマ処理プロセスを実行して、メモリ膜26の表面領域を不動態化することができる。第2の異方性エッチングプロセスの間に誘発された欠陥は、不動態化プラズマ処理プロセスの間に提供される不動態化元素で酸素原子を置換することによって不動態化される。一つの実施例において、不動態化プラズマ処理プロセスでは、フッ素ガスプラズマ又は窒素ガスプラズマを使用する。ガスプラズマ処理の温度は、例えば、40℃から75℃の範囲内であってもよいが、それよりも低い又は高いプラズマ処理温度を使用してもよい。ガスプラズマのパワーは、プロセスチャンバ内で処理される半導体ウエハのサイズに依存し、一般に200ワットから1500ワットの範囲内とすることができるが、それよりも低い又は高いガスプラズマパワーを使用してもよい。不動態化プラズマ処理プロセス中、フッ素ガス又は窒素ガスはプロセスチャンバ内に流入することができる。不動態化プラズマ処理プロセス中のゲートフローレートは、1分間に10標準立方センチ(standard cubic centimeters per minute;sccm)から200sccmの範囲内であってもよいが、それよりも低い又は高いゲートフローレートを使用してもよい。
【0054】
一般に、メモリ膜26の材料及びガスプラズマ内のガスの種は、メモリ膜26内の金属元素(複数)とガスプラズマから提供されるフッ素原子又は窒素原子との間の原子結合強度が、金属元素と酸素原子との間の原子結合強度よりも大きくなるように選択され得る。そのため、不動態化プラズマ処理プロセスにおいて、メモリ膜26の表面セグメント内の酸素原子が、フッ素原子又は窒素原子に置換された後、不動態化プラズマ処理プロセスの後にメモリ膜26が酸素を含む雰囲気に曝されても、メモリ膜26の表面セグメントにおけるフッ素原子又は窒素原子が酸素原子に置換されることがない。
【0055】
各メモリ膜26は、材料組成が不動態化プラズマ処理によって基本的に影響を受けない中央領域(261C、262C)と、不動態化プラズマ処理プロセスでは酸素原子をフッ素原子又は窒素原子に有意な分率で置換する周辺領域(261P、262P)とを含む。周辺領域(261P、262P)における不動態化元素(フッ素又は窒素であってもよい)と酸素との平均原子比は、0.01未満(及び/又は0.001未満、及び/又は0.0001未満、及び/又は1.0×10-5未満、及び/又は1.0×10-6未満、及び/又は1.0×10-7未満)の第1の数から0.05よりも大きい(及び/又は0.10超え、及び/又は0.10超え、及び/又は0.5超え、及び/又は1.0超え、及び/又は2超え、及び/又は5超え、及び/又は10超え)第2の数まで増加する。一つの実施例において、各メモリ膜26の各周辺領域(261P、262P)における不動態化元素(即ち、フッ素原子又は窒素原子)と酸素との平均原子比は、0.05を超え、及び/又は0.10を超え、及び/又は0.5を超え、及び/又は1.0を超え、及び/又は2を超え、及び/又は5を超え、及び/又は10を超えてもよい。一つの実施例において、各メモリ膜26の中央領域(261C、262C)は、0.01未満(及び/又は0.001未満、及び/又は0.0001未満、及び/又は1.0×10-5未満、及び/又は1.0×10-6未満、及び/又は1.0×10-7未満)である不動態化元素と酸素との平均原子比を有し得る。一つの実施例において、メモリ膜26の中央領域(261C、262C)は、0.05未満である不動態化元素と酸素との原子比を有するメモリ膜26の各体積を含んでもよく、且つメモリ膜26の周辺領域(261P、262P)は、0.05よりも大きいか又は無限大(即ち、酸素原子が存在しない条件)の不動態化元素と酸素との原子比を有するメモリ膜26の各体積を含んでもよい。
【0056】
代替的な実施例において、非プラズマプロセスを使用して、不動態化元素を周辺領域(261P、262P)に導入し、図7の構造、又は後に説明される構造内の周辺領域の何れかを形成してもよい。例えば、フッ素原子又は窒素原子をメモリ膜26の周辺領域(261P、262P)に注入するために、低エネルギー斜めイオン注入プロセスを使用することができる。
【0057】
メモリ膜26が第1の誘電体金属酸化層261と第2の誘電体金属酸化層262との積み重ねを含む実施例において、第1の誘電体金属酸化層261は、0.05未満である不動態化元素と酸素との原子比を有する第1の中央領域261C及び0.05よりも大きい不動態化元素と酸素との原子比を有する第1の周辺領域261Pを含んでもよく、第2の誘電体金属酸化層262は、0.05未満である不動態化元素と酸素との原子比を有する第2の中央領域262C及び0.05よりも大きい不動態化元素と酸素との原子比を有する第2の周辺領域262Pを含んでもよい。
【0058】
各メモリ膜26内の周辺領域(261P、262P)の横方向の厚さは、2nmから4nm等の1nmから5nmの範囲内であってもよいが、それよりも小さい又は大きい横方向の厚さを使用してもよい。一つの実施例において、メモリ膜26の各周辺領域(261P、262P)は、不動態化元素の濃度勾配を有してもよく、不動態化元素(フッ素原子又は窒素原子であってもよい)の原子濃度をメモリ膜26の表面(即ち、側壁)からの距離に応じて減少させる。
【0059】
本開示の態様によると、酸素原子が存在しないか、又はその一部がフッ素原子又は窒素原子に置換された周辺領域(261P、262P)の存在は、各メモリセル20の周辺領域(261P、262P)内の導電性糸状体の形成を抑制することがある。幾つかの実施例において、各周辺領域(261P、262P)の外側セグメントは、酸素原子を含まなくてもよい。本明細書で使用されるように、ある元素の原子百分率が1.0×10-6%未満(即ち、原子分率が1.0×10-8未満)であるか、又は本技術分野で利用可能な、実体体積内の材料組成を確定するための分析装置の検出限界を下回る場合、実体体積には「該元素が含まれない」。このような無酸素表面セグメントの横方向の厚さは、1nmから4nmの範囲内であってもよいが、それよりも小さい又は大きい厚さを使用してもよい。周辺領域(261P、262P)において、酸素原子の欠失又は減少により、メモリセル20の耐久性が向上し、また、酸素欠乏糸状体がないため、セルリーク電流が減少する。
【0060】
処理されていない酸化ハフニウム表面由来、及びフッ素プラズマで処理された酸化ハフニウム表面由来の例示的なX線光電子分光(x-ray photoemission spectroscopy;XPS)データである図8を参照する。第1の曲線810は、処理されていない酸化ハフニウム表面由来のXPSデータを示す。第2の曲線820は、フッ素プラズマで処理された酸化ハフニウム表面由来のXPSデータを示す。この実施例において、正規化された金属-フッ素結合(例えば、Hf-F)強度は、約1から約10の範囲内であってもよい。XPSデータは、周辺領域(261P、262P)がフッ素プラズマによって不動態化され得て、金属-フッ素結合で金属-酸素結合を置換する有意な分率、及び/又は主な分率を示す。XPSデータは、酸化ハフニウム表面上でのフッ素プラズマ処理の実施例を図示するが、上記で説明した窒素プラズマ及び/又は他の酸素欠乏糸状誘電体金属酸化物材料上でも同様の現象も起こると考えられる。
【0061】
図9A及び図9Bを参照し、メモリセル20の二次元アレイ及びハードシールドキャップ30の上方に上部ビアホールレベル誘電体材料層646を形成することができる。上部ビアホールレベル誘電体材料層646は、下部ビアホールレベル誘電体材料層645に使用可能な任意の誘電体材料を含み得る。一つの実施例において、上部ビアホールレベル誘電体材料層646は、酸化ケイ素のような平坦化可能な誘電体材料を含んでもよいし、又はスピン誘電体材料のような平坦化可能な誘電体材料を含んでもよい。この実施例において、上部ビアホールレベル誘電体材料層646の上面は、平面又は半平面の表面として形成されてもよい。上部ビアホールレベル誘電体材料層646の厚さは、上部ビアホールレベル誘電体材料層646の上面が、ハードシールドキャップ30の上面を含む水平面に形成されるように選択され得る。
【0062】
ビアホールキャビティは、メモリセル20の二次元アレイの上及び周辺領域200内の金属相互接続構造(例えば、第3の金属配線構造638)の上方に形成され得る。頂部金属バリア板29(又は頂部電極28)の上面は、メモリアレイ領域100に形成されたビアホールキャビティの底部に実質的に露出することができ、且つ下にある金属相互接続構造(例えば、第3の金属配線構造638)の上面は、周辺領域200に形成されたビアホールキャビティの底部に実質的に露出することができる。少なくとも1つの金属材料(例えば、金属バリアライニングと金属充填材料との組合せ)は、ビアホールキャビティの各々の内に堆積することができ、且つ少なくとも1つの金属材料の余分な部分は、上部ビアホールレベル誘電体材料層646の上面から平坦化プロセスによって除去することができる。平坦化プロセスは、化学機械研磨(chemical mechanical polishing;CMP)プロセス又はリセッスエッチングプロセスを含み得る。メモリセル20で被覆されるビアホールキャビティを充填する少なくとも1つの金属材料の残りの部分の各々は、頂部接続ビアホール構造35を構成する。周辺領域200内のビアホールキャビティを充填する少なくとも1つの金属材料の残りの部分の各々は、第3の金属ビアホール構造642を構成する。各頂部接続ビアホール構造35は、頂部金属バリア板29及び/又は頂部電極28に接触する。
【0063】
図10A及び図10Bを参照し、上部ビアホールレベル誘電体材料層646の上方に配線レベル誘電体材料層647を堆積することができる。下部ビアホールレベル誘電体材料層645と、エッチング・ストップ誘電体材料層18と、上部ビアホールレベル誘電体材料層646と、配線レベル誘電体材料層647との組合せは、第4の相互接続レベル誘電体材料層640のような相互接続レベル誘電体材料層を構成する。
【0064】
配線キャビティは、配線レベル誘電体材料層647内に形成されてよく、金属配線構造を形成するために少なくとも1つの金属材料(例えば、金属バリアライニングと金属充填材料との組合せ)で充填することができ、本明細書において第4の金属配線構造648と呼ばれる。一つの実施例において、第4の金属配線構造648のサブセットは、第1の水平方向hd1に沿って横方向に延在するビット線648Bを含んでもよい。ビット線648Bの各々は、第1の水平方向hd1に沿って配置された頂部接続ビアホール構造35における個別の列に接触してもよい。一つの実施例において、ビット線648Bは、第2の水平方向hd2に沿って第2のピッチp2の周期性を周期的に有してもよい。
【0065】
第1の例示的な構造は、半導体構造を含む。半導体構造は、メモリセル20の二次元アレイを含み、メモリセル20は、基板8で被覆される個別の第1の電極24、個別のメモリ膜26、及び個別の第2の電極28を含む。二次元アレイ積み重ね内の各メモリ膜26は、不動態化元素と酸素との第1の平均原子比が0.01未満(及び/又は0.001未満、及び/又は0.0001未満、及び/又は1.0×10-5未満、及び/又は1.0×10-6未満、及び/又は1.0×10-7未満)である個別の中央領域(261C、262C)を含み、且つ不動態化元素と酸素との第2の平均原子比が0.05よりも大きい(及び/又は0.10超え、及び/又は0.10超え、及び/又は0.5超え、及び/又は1.0超え、及び/又は2超え、及び/又は5超え、及び/又は10超え)個別の周辺領域(261P、262P)を含む。
【0066】
半導体構造は、基板8に位置し、その中のアクセストランジスター70Aの各々がメモリセル20の二次元アレイ内のメモリセル20の各々に電気的に接続されるアクセストランジスター70Aの二次元アレイと、誘電体材料層(601、610、620、630、645、18)内に位置し、アクセストランジスター70Aの二次元アレイとメモリセル20の二次元アレイとの間に電気的接続を提供する金属相互接続構造(612、618、622、628、632、638、15)とを更に含む。
【0067】
一つの実施例において、金属相互接続構造(612、618、622、628、632、638、15)は、ビアホール構造(例えば、底部接続ビアホール構造15)の二次元アレイを含み、且つ誘電体材料層(601、610、620、630、645、18)は、ビアホール構造15の二次元アレイ内のビアホール構造の上面に接触し、水平延在部分及び垂直突出部の二次元アレイを含むエッチング・ストップ誘電体材料層18を含む。
【0068】
一つの実施例において、垂直突出部内の各々は、第1の電極24の個別のものの側壁に垂直に重なり合う個別の側壁を含み、水平延在部分の個別の周辺と隣接する個別の底部周辺Pを有し、エッチング・ストップ誘電体材料層18は、その中を貫通する開口の二次元アレイを含み、且つエッチング・ストップ誘電体材料層18を貫通する各開口は、平面図において完全に第1の電極24の個別のものの領域内に位置する。
【0069】
図11を参照し、図4の処理工程においてメモリ材料層26Lとして単一誘電体金属酸化層を用いることによって、第1の例示的な構造から第1の例示的な構造の代替的な構成を得ることができる。この実施例において、各メモリ膜26は、単一誘電体金属酸化層から構成され得る。各メモリ膜26は、中央領域260C及び周辺領域260Pを含む。中央領域260C及び周辺領域260Pは、それぞれ上記した第1の中央領域261C及び第1の周辺領域261Pの組成を有し得るか、又はそれぞれ上記した第2の中央領域262C及び第2の周辺領域262Pの組成を有し得る。この実施例において、各メモリ膜26の中央領域は、第1の電極24の第1の界面と第2の電極28の第2の界面との間の垂直方向(即ち、垂直方向に沿う)に沿って同じ材料組成を有してもよい。
【0070】
図12を参照し、エッチング・ストップ誘電体材料層18を貫通する開口の二次元アレイの形成、フォトレジスト層19の除去、底部金属バリア板22の二次元アレイの形成、及び下から上に向かって第1の電極層24Lと、メモリ材料層26Lと、第2の電極層28Lと、少なくとも1つの選択的な頂部金属バリア層29Lと、ハードシールド層30Lとを含む層積み重ねの形成によって、図3の第1の例示的な構造から本開示の実施例による第2の例示的な構造を得ることができる。
【0071】
一つの実施例において、エッチング・ストップ誘電体材料層18を貫通する開口への少なくとも1つの金属バリア材料の堆積、及び化学機械研磨プロセスのような平坦化プロセスによって、エッチング・ストップ誘電体材料層18の上面を含む水平面から少なくとも1つの金属バリア材料部分を除去して底部金属バリア板22の二次元アレイを形成してもよい。底部金属バリア板22の少なくとも1つの金属バリア材料は、図5を参照して説明された第1の底部金属バリア層221L、第2の底部金属バリア層222L、及び第3の底部金属バリア層223Lの何れかに使用可能な金属バリア材料の何れかを含んでもよい。第1の電極層24L、メモリ材料層26L、第2の電極層28L、少なくとも1つの選択的な頂部金属バリア層29L、及びハードシールド層30Lの各々は、第1の例示的な構造と同一の材料組成及び同一の厚さ範囲を有し得る。
【0072】
図13を参照し、ハードシールド層30Lの上方にフォトレジスト層をかけることができ、パターン化フォトレジスト層37を形成するようにリソグラフィーパターン化を行うことができる。パターン化フォトレジスト層37は、底部接続ビアホール構造15内の個別のもので被覆される離散的なフォトレジスト材料部分の二次元周期的アレイを含むことができる。そのため、離散的なフォトレジスト材料部分の二次元周期的アレイは、底部接続ビアホール構造15の二次元アレイと同じ二次元周期性を有し得る。離散的なフォトレジスト材料部分の各々は、面積がエッチング・ストップ誘電体材料層18における個別の下にある開口と重なり合うことができる。一つの実施例において、離散的なフォトレジスト材料部分の各々は、平面図(即ち、上から下へ見る図)においてエッチング・ストップ誘電体材料層18における下にある開口の周辺の横方向に対して外側にオフセットされた周辺を有し得る。
【0073】
図14を参照し、パターン化フォトレジスト層37の離散的なフォトレジスト材料部分の二次元アレイのパターンは、第1の異方性エッチングプロセスを実行することによって、ハードシールド層30L、少なくとも1つの選択的な頂部金属バリア層29L、及第2の電極層28Lを介して転写することができる。ハードシールド層30Lは、ハードシールドキャップ30の二次元アレイとしてパターン化され得る。少なくとも1つの選択的な頂部金属バリア層29L(使用する場合)は、頂部金属バリア板29の二次元アレイとしてパターン化され得る。一つの実施例において、各頂部金属バリア板29は、第1の頂部金属バリア板291(第1の頂部金属バリア層291Lのパターン化部)と第2の頂部金属バリア板292(第2の頂部金属バリア層292Lのパターン化部)との積み重ねを含んでもよい。第2の電極層28Lは、第2の電極28の二次元アレイとしてパターン化され得る。メモリ材料層26Lは、第1の異方性エッチングプロセスのエッチング・ストップ層として使用され得る。その後、パターン化フォトレジスト層37は、例えば、アッシングによって除去することができる。
【0074】
図15を参照し、窒化ケイ素、炭化窒化ケイ素、又は酸化ケイ素のような絶縁材料は、コンフォーマル堆積プロセス(例えば、化学気相堆積プロセス)によって第2の電極28の二次元アレイの上方にコンフォーマル堆積して、絶縁材料層を形成することができる。異方性エッチングプロセスを実行して、絶縁材料層の水平延在部分を除去することができる。第2の電極28と、頂部金属バリア板29と、ハードシールドキャップ30との個別の積み重ねを横方向に囲む絶縁材料層の個々の残りの円筒状の部分は、絶縁スペーサ32を構成する。内側壁と外側壁との間で測定された各絶縁スペーサ32の横方向の厚さは、8nmから40nm等の4nmから80nmの範囲内であってもよいが、それよりも小さい又は大きい横方向の厚さを使用してもよい。各絶縁スペーサ32は、個別の第2の電極28を横方向に囲んでいる。
【0075】
図16を参照し、ハードシールドキャップ30の二次元アレイと絶縁スペーサ32の二次元アレイとの組合せのうちのパターンは、第2の異方性エッチングプロセスを実行することによって、メモリ材料層26L及び第1の電極層24Lを介して転写することができる。メモリ材料層26Lの各パターン化部は、メモリ膜26を含む。第1の電極層24Lの各パターン化部は、第1の電極24を含む。
【0076】
各メモリ膜26は、酸素欠乏糸状誘電体金属酸化物材料を含む少なくとも1つの誘電体金属酸化層を含む。例えば、メモリ膜26は、第1の誘電体金属酸化層261と第2の誘電体金属酸化層262とを含む層積み重ねを含むことができる。
【0077】
一般に、第2の電極層28L、メモリ材料層26L、及び第1の電極層24Lのうち、第2の電極の二次元アレイ及び絶縁スペーサ32の二次元アレイによって遮蔽されていない部分は、第2の異方性エッチングプロセスの間に異方性エッチングされてもよい。メモリ材料層26L及び第1の電極層24Lの残りの部分は、メモリ膜26及び第1の電極24を含む。
【0078】
底部金属バリア板22、第1の電極24、メモリ膜26、頂部電極28、及び頂部金属バリア板29の各連続セットは、メモリセル20を構成する。メモリセル20の二次元周期的アレイを形成することができる。メモリセル20の二次元周期的アレイは、第1の水平方向hd1に沿った第1のピッチp1と、第2の水平方向hd2に沿った第2のピッチp2とを有し得る。一つの実施例において、メモリ膜26と第1の電極24との間の各第1の界面は、水平セグメントを含んでもよい。メモリ膜26と第2の電極28との間の各第2の界面は、水平セグメントを含んでもよい。
【0079】
一つの実施例において、第1の電極24の1つ又は複数の側壁、メモリ膜26の1つ又は複数の側壁、及び絶縁スペーサ32で被覆される外側壁は、互いに垂直に重なり合ってもよい。メモリセル20が円形の水平断面形状を有する説明的な実施例において、垂直平面は、円筒状の垂直平面であってもよい。
【0080】
一つの実施例において、第2の異方性エッチングプロセスは、エッチング・ストップ誘電体材料層18の材料に対して選択性を有するエッチング化学を利用してもよい。しかしながら、第2の異方性エッチングプロセスの端末部分において、エッチング・ストップ誘電体材料層18の頂部部分に対するパラレルエッチングが発生する可能性がある。一つの実施例において、エッチング・ストップ誘電体材料層18は、第1の電極24の各々の下にあり且つ水平延在部分及び垂直突出部の二次元アレイを含む。垂直突出部の各々は、第1の電極24の側壁に垂直に重なり合う側壁を含み、水平延在部分の周辺と隣接する底部周辺Pを有する。エッチング・ストップ誘電体材料層18は、平面図において第1の電極24の各々の領域内を貫通する開口を有する。
【0081】
上記したように、メモリ膜26の実体露出表面には、第2の異方性エッチングプロセスの間に導入された構造及び/又は成分欠陥が存在する可能性がある。このような欠陥の幾つは、酸素欠乏糸状体の早期形成に有利な条件を提供し、例えば、臨界電界量よりも小さい値を有する電界の下で、且つ酸素欠乏糸状体の除去に不利である(そのため、欠陥のない誘電体金属酸化物の酸素欠乏糸状体を消去するのに十分であるべき電界を印加しても、酸素欠乏糸状体を完全に除去できない)。また、このような欠陥は、通常の動作条件では、メモリセル20のリーク経路として機能する。
【0082】
不動態化プラズマ処理プロセスを実行して、メモリ膜26の表面領域を不動態化することができる。第2の異方性エッチングプロセスの間に誘発された欠陥は、不動態化プラズマ処理プロセスの間に提供される不動態化元素で酸素原子を置換することによって不動態化される。不動態化プラズマ処理プロセスでは、フッ素ガスプラズマ又は窒素ガスプラズマを使用する。ガスプラズマ処理プロセスのプロセス条件は、図7を参照して説明されたガスプラズマ処理プロセスのプロセス条件と同じであってもよい。
【0083】
一般に、メモリ膜26の材料及びガスプラズマ内のガスの種は、メモリ膜26内の金属元素(複数)とガスプラズマから提供されるフッ素原子又は酸素原子との間の原子結合強度が、金属元素と酸素原子との間の原子結合強度よりも大きくなるように選択され得る。そのため、不動態化プラズマ処理プロセス中、メモリ膜26の表面部分内の酸素原子が、フッ素原子又は窒素原子に置換された後、不動態化プラズマ処理プロセスの後にメモリ膜26が酸素を含む雰囲気に曝されても、メモリ膜26の表面部分におけるフッ素原子又は窒素原子が酸素原子に置換されることがない。
【0084】
各メモリ膜26は、材料組成が不動態化プラズマ処理によって基本的に影響を受けない中央領域(261C、262C)と、不動態化プラズマ処理プロセスでは酸素原子をフッ素原子又は窒素原子に有意な分率で置換する周辺領域(261P、262P)とを含む。周辺領域(261P、262P)における不動態化元素(フッ素又は窒素であってもよい)と酸素との平均原子比は、0.01未満(及び/又は0.001未満、及び/又は0.0001未満、及び/又は1.0×10-5未満、及び/又は1.0×10-6未満、及び/又は1.0×10-7未満)の第1の数から0.05よりも大きい(及び/又は0.10超え、及び/又は0.10超え、及び/又は0.5超え、及び/又は1.0超え、及び/又は2超え、及び/又は5超え、及び/又は10超え)第2の数まで増加する。一つの実施例において、各メモリ膜26の各周辺領域(261P、262P)における不動態化元素(即ち、フッ素原子又は窒素原子)と酸素との平均原子比は、0.05を超え、及び/又は0.10を超え、及び/又は0.5を超え、及び/又は1.0を超え、及び/又は2を超え、及び/又は5を超え、及び/又は10を超えてもよい。一つの実施例において、各メモリ膜26の中央領域(261C、262C)は、0.01未満(及び/又は0.001未満、及び/又は0.0001未満、及び/又は1.0×10-5未満、及び/又は1.0×10-6未満、及び/又は1.0×10-7未満)である不動態化元素と酸素との平均原子比を有し得る。一つの実施例において、メモリ膜26の中央領域(261C、262C)は、0.05未満である不動態化元素と酸素との原子比を有するメモリ膜26の各体積を含んでもよく、且つメモリ膜26の周辺領域(261P、262P)は、0.05よりも大きいか又は無限大(即ち、酸素原子が存在しない条件)の不動態化元素と酸素との原子比を有するメモリ膜26の各体積を含んでもよい。
【0085】
メモリ膜26が第1の誘電体金属酸化層261と第2の誘電体金属酸化層262との積み重ねを含む実施例において、第1の誘電体金属酸化層261は、0.05未満である不動態化元素と酸素との原子比を有する第1の中央領域261C、及び0.05よりも大きい不動態化元素と酸素との原子比を有する第1の周辺領域261Pを含んでもよく、第2の誘電体金属酸化層262は、0.05未満である不動態化元素と酸素との原子比を有する第2の中央領域262C、及び0.05よりも大きい不動態化元素と酸素との原子比を有する第2の周辺領域262Pを含んでもよい。
【0086】
各メモリ膜26内の周辺領域(261P、262P)の横方向の厚さは、2nmから4nm等の1nmから5nmの範囲内であってもよいが、それよりも小さい又は大きい横方向の厚さを使用してもよい。一つの実施例において、メモリ膜26の各周辺領域(261P、262P)は、不動態化元素の濃度勾配を有してもよく、不動態化元素(フッ素原子又は窒素原子であってもよい)の原子濃度をメモリ膜26の表面(即ち、側壁)からの距離に応じて減少させる。
【0087】
本開示の態様によると、酸素原子が存在しないか、又はその一部がフッ素原子又は窒素原子に置換された周辺領域(261P、262P)の存在は、各メモリセル20における周辺領域(261P、262P)内の導電性糸状体の形成を抑制することがある。幾つかの実施例において、各周辺領域(261P、262P)の外側セグメントは、酸素原子を含まなくてもよい。このような無酸素表面セグメントの横方向の厚さは、1nmから4nmの範囲内であってもよいが、それよりも小さい又は大きい厚さを使用してもよい。周辺領域(261P、262P)において、酸素原子の欠失又は減少により、メモリセル20の耐久性が向上し、また、酸素欠乏糸状体がないため、セルリーク電流が減少する。
【0088】
図17A及び図17Bを参照し、図9A及び図9Bを参照して説明された処理工程を実行して上部ビアホールレベル誘電体材料層646、頂部接続ビアホール構造35、及び第3の金属ビアホール構造642を形成することができる。各頂部接続ビアホール構造35は、頂部金属バリア板29及び/又は頂部電極28に接触する。
【0089】
図18A及び図18Bを参照し、図10A及び図10Bを参照して説明された処理工程を実行して配線レベル誘電体材料層647及び第4の金属配線構造648を形成することができる。下部ビアホールレベル誘電体材料層645と、エッチング・ストップ誘電体材料層18と、上部ビアホールレベル誘電体材料層646と、配線レベル誘電体材料層647との組合せは、第4の相互接続レベル誘電体材料層640のような相互接続レベル誘電体材料層を構成する。一つの実施例において、第4の金属配線構造648のサブセットは、第1の水平方向hd1に沿って横方向に延在するビット線648Bを含んでもよい。ビット線648Bの各々は、第1の水平方向hd1に沿って配置された頂部接続ビアホール構造35における個別の列に接触してもよい。一つの実施例において、ビット線648Bは、第2の水平方向hd2に沿って第2のピッチp2の周期性を周期的に有してもよい。
【0090】
第2の例示的な構造は、半導体構造を含む。半導体構造は、メモリセル20の二次元アレイを含み、メモリセル20は、基板8で被覆される個別の第1の電極24、個別のメモリ膜26、及び個別の第2の電極28を含む。二次元アレイ積み重ね内の各メモリ膜26は、不動態化元素と酸素との第1の平均原子比が0.01未満である個別の中央領域(261C、262C)を含み、且つ不動態化元素と酸素との第2の平均原子比が0.05よりも大きい個別の周辺領域(261P、262P)を含む。
【0091】
半導体構造は、基板8に位置し、その中のアクセストランジスター70Aの各々がメモリセル20の二次元アレイ内のメモリセル20の各々に電気的に接続されるアクセストランジスター70Aの二次元アレイと、誘電体材料層(601、610、620、630、645、18)内にあり、アクセストランジスター70Aの二次元アレイとメモリセル20の二次元アレイとの間に電気的接続を提供する金属相互接続構造(612、618、622、628、632、638、15)とを更に含む。
【0092】
一つの実施例において、金属相互接続構造(612、618、622、628、632、638、15)は、ビアホール構造(例えば、底部接続ビアホール構造15)の二次元アレイを含み、また、誘電体材料層(601、610、620、630、645、18)は、ビアホール構造15の二次元アレイ内のビアホール構造の上面に接触し、水平延在部分及び垂直突出部の二次元アレイを含むエッチング・ストップ誘電体材料層18を含む。
【0093】
一つの実施例において、垂直突出部内の各々は、第1の電極24の個別のものの側壁に垂直に重なり合う個別の側壁を含み、水平延在部分の個別の周辺と隣接する個別の底部周辺Pを有し、エッチング・ストップ誘電体材料層18は、その中を貫通する開口の二次元アレイを含み、且つエッチング・ストップ誘電体材料層18を貫通する各開口は、平面図において完全に第1の電極24の個別のものの領域内に位置する。
【0094】
図19を参照し、図12の処理工程においてメモリ材料層26Lとして単一誘電体金属酸化層を用いることによって、第2の例示的な構造から第2の例示的な構造の代替的な構成を得ることができる。この実施例において、各メモリ膜26は、単一誘電体金属酸化層から構成され得る。各メモリ膜26は、中央領域260C及び周辺領域260Pを含む。中央領域260C及び周辺領域260Pは、それぞれ上記した第1の中央領域261C及び第1の周辺領域261Pの組成を有し得るか、又はそれぞれ上記した第2の中央領域262C及び第2の周辺領域262Pの組成を有し得る。この実施例において、各メモリ膜26の中央領域は、第1の電極24の第1の界面と第2の電極28の第2の界面との間の垂直方向(即ち、垂直方向に沿う)に沿って同じ材料組成を有してもよい。
【0095】
図20を参照し、図13図14図15図16図17A図17B、及び図18A図18Bを参照して説明された処理工程を実行することによって、図4に示す第1の例示的な構造から第2の例示的な構造の第2の代替的な構成を得ることができる。第2の例示的な構造の第2の代替的な構成において、選択的な底部金属バリア板22は、第1の底部金属バリア板221(第1の底部金属バリア層221Lのパターン化部)と、第2の底部金属バリア板222(第2の底部金属バリア層222Lのパターン化部)と、第3の底部金属バリア板223(第3の底部金属バリア層223Lのパターン化部)との積み重ねを含んでもよい。一つの実施例において、メモリ膜26と第1の電極24との間の各第1の界面は、水平中央セグメント、第1の電極24の凸面がメモリ膜26の凹面に接触する環状輪郭セグメント、及び環状輪郭セグメントの外周と隣接する水平環状セグメントを含んでもよい。メモリ膜26と第2の電極28との間の各第2の界面は、水平中央セグメント、第2の電極28の凹面がメモリ膜26の凸面に接触する環状輪郭セグメント、及び環状輪郭セグメントの外周と隣接する水平環状セグメントを含んでもよい。一つの実施例において、第1の電極24の1つ又は複数の側壁、メモリ膜26の1つ又は複数の側壁、及び絶縁スペーサ32で被覆される外側壁は、互いに垂直に重なり合ってもよい。メモリセル20が円形の水平断面形状を有する説明的な実施例において、垂直平面は、円筒状の垂直平面であってもよい。
【0096】
図21を参照し、メモリ材料層26Lとして単一誘電体金属酸化層を用いることによって、第2の例示的な構造の第2の代替的な構成から第2の例示的な構造の第3の代替的な構成を得ることができる。この実施例において、各メモリ膜26は、単一誘電体金属酸化層から構成され得る。各メモリ膜26は、中央領域260C及び周辺領域260Pを含む。中央領域260C及び周辺領域260Pは、それぞれ上記した第1の中央領域261C及び第1の周辺領域261Pの組成を有し得るか、又はそれぞれ上記した第2の中央領域262C及び第2の周辺領域262Pの組成を有し得る。この実施例において、各メモリ膜26の中央領域は、第1の電極24の第1の界面と第2の電極28の第2の界面との間の垂直方向(即ち、垂直方向に沿う)に沿って同じ材料組成を有してもよい。
【0097】
図22を参照し、フロー図は、開示の半導体装置を形成するための方法の一般的な処理工程を示す。
【0098】
図1から図6B図11図12から図16図19図20、及び図21を参照し、工程2210において、基板8の上方に第1の電極24と、メモリ膜26と、第2の電極28とを含む積み重ねを形成することができる。一つの実施例において、メモリ膜26は、少なくとも1つの非化学量論的酸素欠乏誘電体金属酸化物材料を含む。
【0099】
図7から図10B図16から図18B図19図20、及び図21を参照し、工程2220において、積み重ねに不動態化プラズマ処理を実行することができる。メモリ膜26の周辺領域{(261P、262P)、260P}における不動態化元素と酸素との平均原子比は、0.01未満の第1の数から0.05よりも大きい第2の数まで増加する。
【0100】
全ての図面を参照し、本開示の様々な実施例によると、第1の金属材料を含む第1の電極24と、少なくとも1つの誘電体金属酸化物材料を含み、第1の電極24に接触し、不動態化元素と酸素との第1の平均原子比が0.01未満である中央領域{(261C、262C)、260C}、及び不動態化元素と酸素との第2の平均原子比が0.05よりも大きい周辺領域{(261P、262P)、260P}を含むメモリ膜26と、第2の金属材料を含み、メモリ膜26に接触する第2の電極28と、を含む半導体構造を提供する。一つの実施例において、不動態化元素は、フッ素及び窒素から選ばれる。
【0101】
一つの実施例において、少なくとも1つの誘電体金属酸化物材料は、少なくとも1つの糸状誘電体金属酸化物材料を含む。一つの実施例において、少なくとも1つの糸状誘電体金属酸化物材料の各々は、非化学量論的酸素欠乏誘電体金属酸化物材料である。一つの実施例において、メモリ膜26の中央領域{(261C、262C)、260C}は、不動態化元素を含まないか、又は0.01未満である不動態化元素と酸素との比を有する。
【0102】
一つの実施例において、メモリ膜26の中央領域{(261C、262C)、260C}は、第1の電極24の第1の界面と第2の電極28の第2の界面との間に垂直な方向に沿って同じ材料組成を有する。
【0103】
一つの実施例において、メモリ膜26は、層積み重ねを含み、層積み重ねは、少なくとも1つの第1の金属の誘電体金属酸化物を含む第1の誘電体金属酸化物材料、及び少なくとも1つの第2の金属の誘電体金属酸化物を含む第2の誘電体金属酸化物材料を含み、少なくとも1つの第1の金属に存在しない金属元素が存在すること、又は少なくとも1つの第1の金属に存在する金属元素が存在しないことによって、少なくとも1つの第2の金属は、少なくとも1つの第1の金属と異なる。
【0104】
一つの実施例において、第1の電極24とメモリ膜26との間の第1の界面は、水平セグメントを含み、且つメモリ膜26の側壁は、第1の電極24の側壁に垂直に重なり合う。一つの実施例において、メモリ膜26の側壁は、第2の電極28の側壁に垂直に重なり合う。一つの実施例において、半導体構造は、第2の電極28を横方向に囲む絶縁スペーサ32を含み、絶縁スペーサ32の外側壁は、メモリ膜26の側壁に垂直に重なり合う。
【0105】
一つの実施例において、メモリ膜26の周辺領域{(261P、262P)、260P}は、不動態化元素の濃度勾配を有することによって、不動態化元素の原子濃度をメモリ膜26の表面からの距離に応じて減少させる。
【0106】
一つの実施例において、半導体構造は、第1の電極24の下にあり且つ水平延在部分と、第1の電極24の側壁に垂直に重なり合う側壁を含み、水平延在部分の周辺と隣接する底部周辺を有する垂直突出部と、を含むエッチング・ストップ誘電体材料層18を含み、エッチング・ストップ誘電体材料層18は、平面視において第1の電極24の領域内を貫通する開口を有する。
【0107】
本開示の別の態様によると、メモリセル20の二次元アレイを含む半導体構造であって、メモリセル20は、基板8で被覆される個別の第1の電極24、個別のメモリ膜26、及び個別の第2の電極28を含み、二次元アレイ積み重ね内の各メモリ膜26は、不動態化元素と酸素との平均原子比が0.01未満である個別の中央領域{(261C、262C)、260C}と、不動態化元素と酸素との平均原子比が0.05よりも大きい個別の周辺領域{(261P、262P)、260P}とを含む、半導体構造を提供する。一つの実施例において、不動態化元素は、フッ素及び窒素から選ばれる。
【0108】
一つの実施例において、半導体構造は、基板8に位置し、その中のアクセストランジスター70Aの各々がメモリセル20の二次元アレイ内のメモリセル20の各々に電気的に接続されるアクセストランジスター70Aの二次元アレイと、誘電体材料層(601、610、620、630、645、18)内に位置し、アクセストランジスター70Aの二次元アレイとメモリセル20の二次元アレイとの間の電気的接続を提供する金属相互接続構造(612、618、622、628、632、638、15)とを含む。
【0109】
一つの実施例において、金属相互接続構造(612、618、622、628、632、638、15)は、ビアホール構造15の二次元アレイを含み、誘電体材料層(601、610、620、630、645、18)は、ビアホール構造15の二次元アレイ内のビアホール構造の上面に接触し、水平延在部分及び垂直突出部の二次元アレイを含むエッチング・ストップ誘電体材料層18を含む。
【0110】
一つの実施例において、垂直突出部内の各々は、第1の電極24の個別のものの側壁に垂直に重なり合う個別の側壁を含み、水平延在部分の個別の周辺と隣接する個別の底部周辺を有し、エッチング・ストップ誘電体材料層18は、その中を貫通する開口の二次元アレイを含み、且つエッチング・ストップ誘電体材料層18を貫通する各開口は、平面図において完全に第1の電極24の個別のものの領域内に位置する。
【0111】
本開示の様々な実施例によると、第1の電極と、非化学量論的酸素欠乏誘電体金属酸化物材料を含む少なくとも1つのメモリ膜と、第2の電極と、を含む積み重ねを基板の上方に形成する工程と、メモリ膜の周辺領域において不動態化元素と酸素との平均原子比が0.01未満の第1の数から0.05よりも大きい第2の数まで増加するように、積み重ねに不動態化プラズマ処理を実行する工程と、を含み、不動態化元素は、フッ素及び窒素から選ばれる半導体構造の形成方法を提供する。一つの実施例において、半導体構造の形成方法は、第1の電極層と、メモリ材料層と、第2の電極層とを基板に形成する工程と、第2の電極層、メモリ材料層及び第1の電極層をパターン化する工程と、を更に含み、第1の電極は、第1の電極層のパターン化部を含み、メモリ膜は、メモリ材料層のパターン化部を含み、また、第2の電極は、第2の電極層のパターン化部を含む。一つの実施例において、半導体構造の形成方法は、パターン化フォトレジスト層を第2の電極層の上方に形成する工程と、第2の電極層、メモリ材料層、及び第1の電極層の、パターン化フォトレジスト層の複数の領域以外に位置する複数の部分を異方的にエッチングする工程と、を更に含み、第2の電極層、メモリ材料層、及び第1の電極層の複数の残りの部分は、第2の電極、メモリ膜、及び第1の電極を含む。一つの実施例において、半導体構造の形成方法は、パターン化フォトレジスト層を第2の電極層の上方に形成する工程と、エッチングシールドとしてパターン化フォトレジスト層を用いて第2の電極層を異方的にエッチングする工程であって、第2の電極層のパターン化部が第2の電極を含む工程と、パターン化フォトレジスト層を除去する工程と、第2の電極の周りに誘電体スペーサを形成する工程と、メモリ材料層及び第1の電極層の、誘電体スペーサ又は第2の電極で覆われていない複数の部分を異方的にエッチングする工程であって、メモリ材料層及び第1の電極層の複数のパターン化部がメモリ膜及び第1の電極を含む工程と、を更に含む。一つの実施例において、半導体構造の形成方法は、アクセストランジスター、及びアクセストランジスターに電気的に接続される複数の金属相互接続構造を基板の上方に形成する工程と、エッチング・ストップ誘電体材料層を金属相互接続構造の上方に形成する工程と、エッチング・ストップ誘電体材料層を貫通する開口を形成する工程であって、金属相互接続構造内のものの上面が開口の下方に露出し、第1の電極層、メモリ材料層及び第2の電極層が開口の上方に形成される工程と、第2の電極層、メモリ材料層、及び第1の電極層をパターン化する工程であって、エッチング・ストップ誘電体材料層の材料に対して選択性を有するエッチングケミストリーを使用した異方性エッチングプロセスを実行することを含む工程と、を更に含む。
【0112】
本開示の様々な実施例は、酸素欠乏糸状誘電体金属酸化物材料を含むメモリセル20の耐久性を100000回のサイクル以上、及び/又は1000000回のサイクル以上、及び/又は10000000回のサイクル以上まで増加するために使用され得る。また、本開示の様々な実施例は、メモリ膜26の周辺領域から酸素欠損を除去することによってメモリセル20を通したリーク電流を減少させることができる。
【0113】
前述の内容は、いくつかの実施例の特徴を概説し、当業者が本開示の態様をより良く理解させることができる。当業者は、本明細書で導入される実施例を実施するための同一の目的を及び/又は同一の利点を達成するための他のプロセス及び構造を設計又は修正するための基礎として、本開示を容易に使用できることを理解すべきである。当業者は、このような等価構造は本開示の精神及び範囲から逸脱するものではなく、また、このような等価構造は、本開示の精神及び範囲から逸脱することなく、本明細書で様々な変更、置換、及び代替を行うことができることに認識すべきである。
【符号の説明】
【0114】
8 基板
9 半導体材料層
15 底部接続ビアホール構造
18 エッチング・ストップ誘電体材料層
19 フォトレジスト層
20 メモリセル
20L 積み重ね
22 バリア板
22L バリア層
24 第1の電極
24L 第1の電極層
26 メモリ膜
26L メモリ材料層
28 第2の電極
28L 第2の電極層
29 バリア板
29L バリア層
30 ハードシールドキャップ
30L ハードシールド層
32 絶縁スペーサ
35 頂部接続ビアホール構造
37 パターン化フォトレジスト層/シールド層
70A トランジスター
100 メモリアレイ領域
200 周辺領域
221 バリア板
221L バリア層
222 バリア板
222L バリア層
223 バリア板
223L バリア層
260C 中央領域
260P 周辺領域
261 酸化層
261C 中央領域
261L 酸化層
261P 周辺領域
262 酸化層
262C 中央領域
262L 酸化層
262P 周辺領域
291 バリア板
291L バリア層
292 バリア板
292L バリア層
601 誘電体材料層
610 誘電体材料層
612 ビアホール構造
618 配線構造
620 誘電体材料層
622 ビアホール構造
628 配線構造
630 誘電体材料層
632 ビアホール構造
638 配線構造
640 誘電体材料層
642 ビアホール構造
645 誘電体層/誘電体材料層
646 誘電体材料層
647 誘電体材料層
648 配線構造
648B ビット線
700 CMOS回路システム
701 トランジスター
720 浅溝分離構造
732 ソース電極
735 半導体チャネル
738 ドレイン電極
742 合金領域
748 合金領域
750 ゲート構造
752 誘電体層
754 ゲート電極
756 スペーサ
758 誘電体
810 曲線
820 曲線
2210~2220 工程
hd1 水平方向
hd2 水平方向
P 底部周辺
p1 ピッチ
p2 ピッチ
図1
図2A
図2B
図2C
図3
図4
図5
図6A
図6B
図7
図8
図9A
図9B
図10A
図10B
図11
図12
図13
図14
図15
図16
図17A
図17B
図18A
図18B
図19
図20
図21
図22