IP Force 特許公報掲載プロジェクト 2022.1.31 β版

知財求人 - 知財ポータルサイト「IP Force」

▶ コベンター・インコーポレーテッドの特許一覧

特開2023-99022仮想半導体デバイス製作環境におけるキーパラメータ識別、プロセスモデル較正、及び変動性解析のためのシステムと方法
(19)【発行国】日本国特許庁(JP)
(12)【公報種別】公開特許公報(A)
(11)【公開番号】P2023099022
(43)【公開日】2023-07-11
(54)【発明の名称】仮想半導体デバイス製作環境におけるキーパラメータ識別、プロセスモデル較正、及び変動性解析のためのシステムと方法
(51)【国際特許分類】
   G06F 30/398 20200101AFI20230704BHJP
   H01L 21/02 20060101ALI20230704BHJP
【FI】
G06F30/398
H01L21/02 Z
【審査請求】有
【請求項の数】20
【出願形態】OL
【外国語出願】
(21)【出願番号】P 2023066796
(22)【出願日】2023-04-17
(62)【分割の表示】P 2022103142の分割
【原出願日】2018-06-18
(31)【優先権主張番号】62/521,506
(32)【優先日】2017-06-18
(33)【優先権主張国・地域又は機関】US
(31)【優先権主張番号】62/631,022
(32)【優先日】2018-02-15
(33)【優先権主張国・地域又は機関】US
(71)【出願人】
【識別番号】518216467
【氏名又は名称】コベンター・インコーポレーテッド
【氏名又は名称原語表記】COVENTOR INCORPORATED
(74)【代理人】
【識別番号】110000028
【氏名又は名称】弁理士法人明成国際特許事務所
(72)【発明者】
【氏名】ウィリアム・ジェイ.・イーガン
(72)【発明者】
【氏名】ケニス・ビー.・グライナー
(72)【発明者】
【氏名】デイビッド・エム.・フリード
(72)【発明者】
【氏名】アンシュマン・クンワー
(57)【要約】      (修正有)
【課題】半導体デバイス製作のためのキーパラメータを識別するための並びにプロセスモデル較正及び変動性解析を実施するための解析モジュールを含む仮想製作環境を提供する。
【解決手段】仮想製作環境1において、計算機器の解析モジュールは、キーパラメータ識別のために、製作プロセスの所産に最も強く影響するプロセス段階及び/又はパラメータを識別し、プロセスモデル較正のために、仮想製作環境で作成されている3Dモデルを、プロセスターゲットと一致させるために、プロセスパラメータを調整し、変動性解析のために、仮想製作環境において作成された仮想3Dモデル群について得られる計測データの変動性をユーザが解析及び理解することを助ける。
【選択図】図1
【特許請求の範囲】
【請求項1】
仮想半導体製作環境におけるキーパラメータ識別のためのコンピュータ実行可能命令を保持している非一時的なコンピュータ読み取り可能の媒体であって、
前記命令は、実行されるときに、少なくとも1つの計算機器に、
計算機器によって生み出される仮想製作環境において仮想的に製作される半導体デバイス構造について、2D設計データの選択と、複数のプロセスを含むプロセスシーケンスとを受信させ、
前記計算機器によって、前記2D設計データと前記プロセスシーケンスとを使用し実験の計画(DOE)に基づいて、前記半導体デバイス構造のために複数の仮想製作進行を実施させ、前記複数の仮想製作進行は、複数の3Dモデルを構築し、
前記半導体デバイス構造のための1つ以上のターゲットのユーザ識別を受信させ、
前記仮想製作進行から作成された前記複数の3Dモデルにおける前記1つ以上のターゲットのための測定データのうちの1つ以上の外れ値を識別するために、前記仮想製作環境において解析モジュールを実行させ、
前記複数の3Dモデルにおける前記1つ以上のターゲットのための前記測定データから前記1つ以上の識別された外れ値のうちの1つ以上を追加又は排除するためのユーザ選択を受信させ、前記選択は、前記仮想製作環境に提供されたユーザインターフェースを通じて受信され、
前記測定データからの前記選択された外れ値の前記追加又は排除の後に、前記解析モジュールによって前記1つ以上のターゲットのための前記測定データに対して回帰解析を実施させ、
前記回帰解析の結果に基づいて、前記解析モジュールによって1つ以上のキーパラメータを識別させ、
前記識別された1つ以上のキーパラメータの識別情報を表示又はエクスポートさせる、媒体。
【請求項2】
請求項1に記載の媒体であって、
前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記識別された1つ以上のキーパラメータをプログラムによってランク付けさせる、媒体。
【請求項3】
請求項1に記載の媒体であって、
前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
DOEのタイプ、前記DOEにおいて変動させるパラメータ、水準の数、及び前記DOE用の水準のための値のうちの少なくとも1つのユーザ選択を受信するためのユーザインターフェースを前記仮想製作環境に提供させる、媒体。
【請求項4】
請求項1に記載の媒体であって、
前記選択されたターゲットは、計測測定、構造検索、DTCチェック、及び電気的解析のうちの少なくとも1つである、媒体。
【請求項5】
請求項1に記載の媒体であって、
前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記複数の3Dモデルについてのターゲットデータに対して多重共線性チェックを実施させる、媒体。
【請求項6】
請求項1に記載の媒体であって、
前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記仮想製作環境における前記ユーザインターフェースを通じて、選択されたターゲットについての所望の値のユーザ選択を受信させ、前記選択されたターゲットは、キーパラメータに関係付けられたターゲット群から作成され、
前記仮想製作環境における前記ユーザインターフェースを通じて、各識別されたキーパラメータについての上限及び下限のユーザ選択を受信させ、
前記識別されたキーパラメータ、所望の値、並びに上限及び下限を使用して、前記複数の3Dモデルのために最適化アルゴリズムを実行させ、
前記最適化アルゴリズムからの結果を表示又はエクスポートさせる、媒体。
【請求項7】
請求項6に記載の媒体であって、
前記ターゲット群からの前記選択されたターゲットは、前記解析モジュールによって先立って識別されたキーパラメータに関係付けられそのための回帰データが存在するターゲットであり、前記最適化アルゴリズムは、前記回帰データを使用して間接的な最適化を実施する、媒体。
【請求項8】
請求項6に記載の媒体であって、
前記キーパラメータは、ユーザによって手動で識別され、前記最適化アルゴリズムは、直接的な最適化を実施する、媒体。
【請求項9】
請求項1に記載の媒体であって、
前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記ユーザインターフェースを通じてユーザから前記最適化アルゴリズムのための較正オプションを受信させ、前記較正オプションは、反復の回数、収束許容差、試行の回数、及びスコア付け関数のタイプのうちの1つ以上を含む、媒体。
【請求項10】
請求項1に記載の媒体であって、
前記DOEは、モンテカルロシミュレーションであり、前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記複数の仮想製作進行に対して変動性解析を実施するためのユーザ選択を受信させ、
前記複数の仮想製作進行を実施させ、
結果を提供させてシグマの精度の査定を可能にする、媒体。
【請求項11】
請求項1に記載の媒体であって、
前記DOEは、モンテカルロシミュレーションであり、前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記複数の仮想製作進行に対して変動性解析を実施するためのユーザ選択を受信させ、
前記複数の仮想製作進行を実施させ、
結果を提供させてターゲットデータの正規性の査定を可能にする、媒体。
【請求項12】
請求項1に記載の媒体であって、
前記DOEは、モンテカルロシミュレーションであり、前記仮想製作進行の回数は、おおよそ200である、媒体。
【請求項13】
請求項1に記載の媒体であって、
前記DOEは、モンテカルロシミュレーションであり、前記仮想製作進行の回数は、所望のシグマ精度(CI)を実現するために前記ユーザによって調整できる、媒体。
【請求項14】
請求項1に記載の媒体であって、
前記DOEは、モンテカルロシミュレーションであり、前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記複数の仮想製作進行に対して変動性解析を実施するためのユーザ選択を受信させ、
前記複数の仮想製作進行を実施させ、
複数の選択されたターゲットについての結果を同時に表示させる、媒体。
【請求項15】
仮想半導体製作環境におけるキーパラメータ識別のための方法であって、
計算機器によって生み出される仮想製作環境において仮想的に製作される半導体デバイス構造について、2D設計データの選択と、複数のプロセスを含むプロセスシーケンスとを受信し、
前記計算機器によって、前記2D設計データと前記プロセスシーケンスとを使用し実験の計画(DOE)に基づいて、前記半導体デバイス構造のために複数の仮想製作進行を実施し、前記複数の仮想製作進行は、複数の3Dモデルを構築し、
前記半導体デバイス構造のための1つ以上のターゲットのユーザ識別を受信し、
前記仮想製作進行から作成された前記複数の3Dモデルにおける前記1つ以上のターゲットのための測定データのうちの1つ以上の外れ値を識別するために、前記仮想製作環境において解析モジュールを実行し、
前記複数の3Dモデルにおける前記1つ以上のターゲットのための前記測定データから前記1つ以上の識別された外れ値のうちの1つ以上を追加又は排除するためのユーザ選択を受信し、前記選択は、前記仮想製作環境に提供されたユーザインターフェースを通じて受信され、
前記測定データからの前記選択された外れ値の前記追加又は排除の後に、前記解析モジュールによって前記1つ以上のターゲットのための前記測定データに対して回帰解析を実施し、
前記回帰解析の結果に基づいて、前記解析モジュールによって1つ以上のキーパラメータを識別し、
前記識別された1つ以上のキーパラメータの識別情報を表示又はエクスポートする、
ことを備える方法。
【請求項16】
請求項15に記載の方法であって、更に、
前記識別された1つ以上のキーパラメータをプログラムによってランク付けすることを備える方法。
【請求項17】
請求項15に記載の方法であって、更に、
DOEのタイプ、前記DOEにおいて変動させるパラメータ、水準の数、及び前記DOE用の水準のための値のうちの少なくとも1つのユーザ選択を受信するためのユーザインターフェースを前記仮想製作環境に提供することを備える方法。
【請求項18】
請求項15に記載の方法であって、更に、
前記複数の3Dモデルについてのターゲットデータに対して多重共線性チェックを実施することを備える方法。
【請求項19】
請求項15に記載の方法であって、更に、
前記仮想製作環境における前記ユーザインターフェースを通じて、選択されたターゲットについての所望の値のユーザ選択を受信し、前記選択されたターゲットは、キーパラメータに関係付けられたターゲット群から作成され、
前記仮想製作環境における前記ユーザインターフェースを通じて、各識別されたキーパラメータについての上限及び下限のユーザ選択を受信し、
前記識別されたキーパラメータ、所望の値、並びに上限及び下限を使用して、前記複数の3Dモデルのために最適化アルゴリズムを実行し、
前記最適化アルゴリズムからの結果を表示又はエクスポートする、
ことを備える方法。
【請求項20】
請求項15に記載の方法であって、更に、
前記ユーザインターフェースを通じてユーザから前記最適化アルゴリズムのための較正オプションを受信することを備え、前記較正オプションは、反復の回数、収束許容差、試行の回数、及びスコア付け関数のタイプのうちの1つ以上を含む、方法。
【請求項21】
請求項15に記載の方法であって、
前記DOEは、モンテカルロシミュレーションであり、前記方法は、更に、
前記複数の仮想製作進行に対して変動性解析を実施するためのユーザ選択を受信し、
前記複数の仮想製作進行を実施し、
結果を提供してシグマの精度の査定を可能にする、
ことを備える方法。
【請求項22】
請求項15に記載の方法であって、
前記DOEは、モンテカルロシミュレーションであり、前記方法は、更に、
前記複数の仮想製作進行に対して変動性解析を実施するためのユーザ選択を受信し、
前記複数の仮想製作進行を実施し、
結果を提供してターゲットデータの正規性を査定することを可能にする、
ことを備える方法。
【請求項23】
請求項15に記載の方法であって、
前記DOEは、モンテカルロシミュレーションであり、前記方法は、更に、
前記複数の仮想製作進行に対して変動性解析を実施するためのユーザ選択を受信し、
前記複数の仮想製作進行を実施し、
複数の選択されたターゲットについての結果を同時に表示する、
ことを備える方法。
【請求項24】
仮想製作システムであって、
プロセッサを装備した計算機器であって、解析モジュールを含む仮想製作環境を形成するように構成され、前記仮想製作環境は、
計算機器によって生み出される仮想製作環境において仮想的に製作される半導体デバイス構造について、2D設計データの選択と、複数のプロセスを含むプロセスシーケンスとを受信し、
前記計算機器によって、前記2D設計データと前記プロセスシーケンスとを使用し実験の計画(DOE)に基づいて、前記半導体デバイス構造のために複数の仮想製作進行を実施し、前記複数の仮想製作進行は、複数の3Dモデルを構築し、
前記半導体デバイス構造のための1つ以上のターゲットのユーザ識別を受信し、
前記仮想製作進行から作成された前記複数の3Dモデルにおける前記1つ以上のターゲットのための測定データのうちの1つ以上の外れ値を識別するために、前記仮想製作環境において解析モジュールを実行し、
前記複数の3Dモデルにおける前記1つ以上のターゲットのための前記測定データから前記1つ以上の識別された外れ値のうちの1つ以上を追加又は排除するためのユーザ選択を受信し、前記選択は、前記仮想製作環境に提供されたユーザインターフェースを通じて受信され、
前記測定データからの前記選択された外れ値の前記追加又は排除の後に、前記解析モジュールによって前記1つ以上のターゲットのための前記測定データに対して回帰解析を実施し、
前記回帰解析の結果に基づいて、前記解析モジュールによって1つ以上のキーパラメータを識別し、
前記識別された1つ以上のキーパラメータの識別情報を表示又はエクスポートする、計算機器と、
前記計算機器と通信するディスプレイ面であって、前記3D構造モデルを3Dビューで表示するように構成された、ディスプレイ面と、
を備える仮想製作システム。
【請求項25】
請求項24に記載の仮想製作システムであって、
前記仮想製作環境は、前記識別された1つ以上のキーパラメータをプログラムによってランク付けする、仮想製作システム。
【請求項26】
請求項24に記載の仮想製作システムであって、
前記仮想製作環境は、
前記ユーザインターフェースを通じて、選択されたターゲットについての所望の値のユーザ選択を受信し、前記選択されたターゲットは、キーパラメータに関係付けられたターゲット群から作成され、
前記ユーザインターフェースを通じて、各識別されたキーパラメータについての上限及び下限のユーザ選択を受信し、
前記識別されたキーパラメータ、所望の値、並びに上限及び下限を使用して、前記複数の3Dモデルのために最適化アルゴリズムを実行し、
前記最適化アルゴリズムからの結果を表示又はエクスポートする、
仮想製作システム。
【請求項27】
請求項24に記載の仮想製作システムであって、
前記仮想製作環境は、
前記ユーザインターフェースを通じて、選択されたターゲットについての所望の値のユーザ選択を受信し、前記選択されたターゲットは、キーパラメータに関係付けられたターゲット群から作成され、
前記ユーザインターフェースを通じて、各識別されたキーパラメータについての上限及び下限のユーザ選択を受信し、
前記識別されたキーパラメータ、所望の値、並びに上限及び下限を使用して、前記複数の3Dモデルのために最適化アルゴリズムを実行し、
前記最適化アルゴリズムからの結果を表示又はエクスポートする、
仮想製作システム。
【発明の詳細な説明】
【技術分野】
【0001】
[関連出願]
本出願は、2017年6月18日に出願され名称を「System and Method for Analyzing Process Variation in a Virtual Fabrication Environment For Improved Process Integration(プロセス統合の向上のために仮想製作環境におけるプロセス変動を解析するためのシステムと方法)」とする米国仮出願第62/521,506号、並びに2018年2月15日に出願され名称を「System and Method for Process Model Calibration in a Virtual Fabrication Environment(仮想製作環境におけるプロセスモデル較正のためのシステムと方法)」とする米国仮特許出願第62/631,022号の、優先権と権利とを主張する。これらの両出願の内容は、参照によってその全体を本明細書に組み込まれる。
【背景技術】
【0002】
集積回路(IC)は、最新電子機器の無数の機能を実現している。ICの開発を更に効率的にするために、半導体メーカは、その集積回路の生産に使用する共通の製作プロセス、即ち「技術」(本書では、説明を簡単にするために、「技術」という用語が、開発されている半導体デバイス構造のための製作プロセスに言及するために使用されてよい)の開発を定期的に行っている。
【0003】
一貫型デバイスメーカ(IDM)の半導体開発機関、及び独立型の半導体製造工場は、販売するチップ(IC)をウエハ(「ウエハ」は、常にではないが多くはシリコン結晶で構成される半導体材料を、薄くスライスしたものである)から製作するために使用される統合された一連のプロセス工程の開発に、多くのリソースを投じている。リソースの大半は、実験ウエハの製作、並びに関連の測定、計測(「計測(メトロロジ)」は、半導体業界において行われる特殊なタイプの測定を言う)、及びキャラクタライズ構造に費やされ、これらは、いずれも、統合されたプロセスによる所望の半導体デバイス構造の生産を確実にすることを目的としている。これらの実験ウエハは、デバイス構造の製作のための個々のプロセスを開発するために、また、全体の統合プロセスフローを開発するために、試行錯誤方式で使用される。高度な技術ノードのプロセスフローの複雑性が増していることから、実験的製作進行の大半は、負の又は無効なキャラクタライズ結果に終わる。これらの実験的進行は、期間が長く、「ファブ」(製作環境)内において週単位から月単位に及び、尚且つ高価である。FinFET、TriGate、High-K/Metal-Gate、埋め込みメモリ、及び高度なパターニングなどの、近年における半導体技術の進歩は、統合された半導体製作プロセスの複雑性を激増させてきた。この試行錯誤実験方式を使用する技術開発のコスト及び期間も、同時に増してきた。
【0004】
実験ウエハの製作に費やされる労力の軽減を狙いとして、従来の機械式コンピュータ援用設計(CAD)ツール及び特殊テクノロジCAD(TCAD)ツールを使用する試みが成されてきた。汎用機械式CADツールは、実際のファブ内において起きる材料の追加、除去、及び変更のプロセスを自動的に再現しないゆえに、不適切であることがわかっている。他方、TCADツールは、物理学をベースとしたモデル化プラットフォームであり、このプラットフォームは、拡散プロセス及び注入プロセスの最中に起きる材料組成の変化をシミュレーションする。しかしながら、このプラットフォームは、統合プロセスフローを構成するその他のプロセスの最中に起きる材料の追加及び除去の効果も全てシミュレーションするものではない。通常、3Dデバイス構造は、TCADへの入力であり、出力ではない。更に、物理学をベースとしたプロセスシミュレーションに必要とされるデータ及び計算の量ゆえに、TCADシミュレーションは、実用上、チップ上のごく狭い領域に制限され、ほとんどの場合、1つのトランジスタのみを対象とする。最先端の半導体製作技術では、集積における課題の大半が、統合プロセスフローの各所に広く別れているだろうプロセスどうしの間、並びにひと通りの技術一式(トランジスタ、レジスタ、コンデンサ、メモリ等)を含む様々なデバイス間及び回路間の、相互作用に関わっている。体系的な影響及びランダムな影響の両方に端を発する構造上の欠陥が、通常は、新しいプロセス技術ノードの製品化時間を制限する要因になる。したがって、より広範囲の課題をカバーするためには、及び構造的に予測可能なやり方で全体の統合プロセスフローをモデル化するためには、機械式CAD又はTCADとは異なるモデル化プラットフォーム及びモデル化アプローチが必要である。
【0005】
半導体デバイス構造のための仮想製作環境は、従来の試行錯誤式の物理的実験によって可能であるよりも低いコストで且つ速い速度で半導体プロセス開発を実施するためのプラットフォームを提供する。従来のCAD環境及びTCAD環境とは対照的に、仮想製作環境は、統合プロセスフローを仮想的にモデル化すること、並びにひと通りの技術一式を含む全てのデバイス及び回路の完全な3D構造を予測することができる。仮想製作は、統合プロセスシーケンスの記述を、2D設計データ(マスク又はレイアウト)の形をとる対象設計と組み合わせ、実際の/物理的な製作進行から予期される結果を予測する3D構造モデルを作成することとして、その最も単純な形態で説明できる。3D構造モデルは、チップ又はチップの一部分を構成する複数の材料層、注入物、拡散物等の、幾何学的に正確な3D形状を含む。仮想製作は、主に幾何学方式で成されるが、関係する幾何学的配置は、製作プロセスの物理学に従う。(物理学をベースにしたシミュレーションではなく)抽象化の構造レベルでモデル化を実施することによって、構造モデルの構築を劇的に加速して、回路レベルの面積規模でのフルテクノロジのモデル化を可能にできる。仮想製作環境の使用は、したがって、プロセスの仮定の迅速な検証、及び統合プロセスシーケンスと2D設計データとの間の複雑な相互関係の可視化を提供する。
【発明の概要】
【0006】
本発明の実施形態は、キーパラメータを識別するための並びにプロセスモデル較正及び変動性解析を実施するための解析モジュールを含む、半導体デバイス製作のための仮想製作環境を提供する。より具体的には、キーパラメータ識別のために、解析モジュールは、製作プロセスの所産に最も強く影響するプロセス段階及び/又はパラメータを識別する。プロセスモデル較正では、解析モジュールは、仮想製作環境で作成されている3Dモデルを、物理ファブからの透過電子顕微鏡観察(TEM)データなどの測定値、即ちプロセスターゲットと一致させるために、プロセスパラメータを調整する。変動性解析のためには、解析モジュールは、仮想製作環境において作成された仮想3Dモデル群について得られる計測データの変動性をユーザが解析及び理解することを助ける。
【0007】
一実施形態では、非一時的なコンピュータ読み取り可能媒体が、仮想半導体製作環境におけるキーパラメータ識別のためのコンピュータ実行可能命令を保持する。命令は、実行されるときに、計算機器によって生み出される仮想製作環境において仮想的に製作される半導体デバイス構造について、少なくとも1つの計算機器に、2D設計データの選択と、複数のプロセスを含むプロセスシーケンスとを受信させる。命令は、実行されるときに、更に、計算機器によって、2D設計データとプロセスシーケンスとを使用し実験の計画(DOE)に基づいて、半導体デバイス構造のために仮想製作進行を実施する。仮想製作進行は、複数の3Dモデルを構築する。命令は、実行されるときに、少なくとも1つの計算機器に、半導体デバイス構造のための1つ以上のターゲットのユーザ識別を受信させる、及び仮想製作進行から作成された複数の3Dモデルにおける上記1つ以上のターゲットのための測定データのうちの1つ以上の外れ値を識別するために仮想製作環境において解析モジュールを実行させる。命令は、実行されるときに、更に、3Dモデルにおける上記1つ以上のターゲットのための測定データから上記1つ以上の識別された外れ値のうちの1つ以上を追加又は排除するためのユーザ選択を受信し、該選択は、仮想製作環境に提供されたユーザインターフェースを通じて受信される。命令は、実行されるときに、更に、測定データからの選択された外れ値の追加又は排除の後に、解析モジュールによって上記1つ以上のターゲットのための測定データに対して回帰分析を実施し、該回帰分析の結果に基づいて、解析モジュールによって1つ以上のキーパラメータを識別する。識別された1つ以上のキーパラメータの識別情報が、表示又はエクスポートされる。
【0008】
別の一実施形態では、仮想半導体製作環境におけるキーパラメータ識別のための方法は、計算機器によって生み出された仮想製作環境で仮想的に製作される半導体デバイス構造について、2D設計データの選択と、複数のプロセスを含むプロセスシーケンスとを受信することを含む。方法は、更に、計算機器によって、2D設計データとプロセスシーケンスとを使用し実験の計画(DOE)に基づいて、半導体デバイス構造のために仮想製作進行を実施する。仮想製作進行は、複数の3Dモデルを構築する。方法は、更に、半導体デバイス構造のための1つ以上のターゲットのユーザ識別を受信し、仮想製作進行から作成された3Dモデルにおける上記1つ以上のターゲットのための測定データのうちの1つ以上の外れ値を識別するために仮想製作環境において解析モジュールを実行する。方法は、また、3Dモデルにおける上記1つ以上のターゲットのための測定データから上記1つ以上の識別された外れ値のうちの1つ以上を追加又は排除するためのユーザ選択を受信する。該選択は、仮想製作環境に提供されたユーザインターフェースを通じて受信される。更に、方法は、測定データからの選択された外れ値の追加又は排除後に、解析モジュールによって上記1つ以上のターゲットのための測定データに対して回帰分析を実施し、該回帰分析の結果に基づいて、解析モジュールによって1つ以上のキーパラメータを識別する。識別された1つ以上のキーパラメータの識別情報が、表示又はエクスポートされる。
【0009】
一実施形態では、仮想製作システムは、プロセッサを装備し、尚且つ解析モジュールを含む仮想製作環境を形成するように構成された、計算機器を含む。仮想製作環境は、仮想的に製作される半導体デバイス構造について、2D設計データの選択と、複数のプロセスを含むプロセスシーケンスとを受信し、2D設計データとプロセスシーケンスとを使用し実験の計画(DOE)に基づいて、半導体デバイス構造のために仮想製作進行を実施する。仮想製作進行は、複数の3Dモデルを構築する。仮想製作環境は、半導体デバイス構造のための1つ以上のターゲットのユーザ識別を受信し、仮想製作進行から作成された複数の3Dモデルにおける上記1つ以上のターゲットのための測定データのうちの1つ以上の外れ値を識別するために仮想製作環境で解析モジュールを実行し、3Dモデルにおける上記1つ以上のターゲットのための測定データから上記1つ以上の識別された外れ値のうちの1つ以上を追加又は排除するためのユーザ選択を受信する。該選択は、仮想製作環境に提供されたユーザインターフェースを通じて受信される。仮想製作環境は、更に、測定データからの選択された外れ値の追加又は排除後に、解析モジュールによって上記1つ以上のターゲットのための測定データに対して回帰分析を実施し、該回帰分析の結果に基づいて、解析モジュールによって1つ以上のキーパラメータを識別し、該識別された1つ以上のキーパラメータの識別情報を表示又はエクスポートする。仮想製作システムは、更に、計算機器と通信するディスプレイ面を含む。ディスプレイ面は、3D構造モデルを3Dビューで表示するように構成される。
【図面の簡単な説明】
【0010】
本明細書に組み込まれてその一部を構成する添付の図面は、発明の1つ以上の実施形態を例示しており、説明と相まって、発明の解説を助けるものである。
【0011】
図1】本発明の一実施形態を実行に移すのに適した代表的な仮想製作環境を示した図である。
【0012】
図2】仮想製作環境における代表的な仮想製作コンソール(操作画面)を示した図である。
【0013】
図3】仮想製作環境における代表的なレイアウトエディタ(編集画面)を示した図である。
【0014】
図4】仮想製作環境における代表的なプロセスエディタを示した図である。
【0015】
図5】仮想計測測定データを生成するための、仮想製作環境における代表的な段階シーケンスを示した図である。
【0016】
図6】仮想製作環境における代表的な3Dビューアを示した図である。
【0017】
図7】仮想製作環境における代表的な仮想計測測定データの表示を示した図である。
【0018】
図8】仮想製作環境においてプロセスシーケンスを較正するための、仮想製作環境における代表的な段階シーケンスを示した図である。
【0019】
図9】仮想製作環境における、複数の半導体デバイス構造モデルについての仮想計測データを生成する仮想実験をセットアップ及び実施するための代表的な段階シーケンスを示した図である。
【0020】
図10】仮想製作環境における仮想実験のためのプロセスパラメータを提供するために使用される代表的なパラメータエクスプローラビューを示した図である。
【0021】
図11】仮想製作環境における仮想実験で生成された仮想計測データの代表的な表形式表示を示した図である。
【0022】
図12】仮想製作環境における仮想実験で生成された仮想計測データの代表的な図式表示を示した図である。
【0023】
図13】代表的な一実施形態における代表的な解析フローを示した図である。
【0024】
図14A】代表的な一実施形態における、キーパラメータを識別する間に仮想製作環境によって提供される代表的なユーザインターフェースを示した図である。
図14B】代表的な一実施形態における、キーパラメータを識別する間に仮想製作環境によって提供される代表的なユーザインターフェースを示した図である。
図14C】代表的な一実施形態における、キーパラメータを識別する間に仮想製作環境によって提供される代表的なユーザインターフェースを示した図である。
図14D】代表的な一実施形態における、キーパラメータを識別する間に仮想製作環境によって提供される代表的なユーザインターフェースを示した図である。
図14E】代表的な一実施形態における、キーパラメータを識別する間に仮想製作環境によって提供される代表的なユーザインターフェースを示した図である。
図14F】代表的な一実施形態における、キーパラメータを識別する間に仮想製作環境によって提供される代表的なユーザインターフェースを示した図である。
図14G】代表的な一実施形態における、キーパラメータを識別する間に仮想製作環境によって提供される代表的なユーザインターフェースを示した図である。
【0025】
図15】代表的な一実施形態における、キーパラメータを識別するために実施される段階シーケンスを示した図である。
【0026】
図16】代表的な一実施形態における、プロセスモデル較正のために実施される段階シーケンスを示した図である。
【0027】
図17】代表的な一実施形態における、プロセスモデル較正UIによって提供されるターゲット選択オプション及び所望値エントリオプションを示した図である。
【0028】
図18】代表的な一実施形態における、プロセスモデル較正UIによって提供される較正オプションを示した図である。
【0029】
図19】代表的な一実施形態における、プロセスモデル較正UIによって提供されるパラメータ境界エントリオプションを示した図である。
【0030】
図20】代表的な一実施形態における、プロセスモデル較正UIによって提供される代表的な結果表示を示した図である。
【0031】
図21】代表的な一実施形態における、変動性解析を実施するために使用される段階シーケンスを示した図である。
【0032】
図22】代表的な一実施形態における、変数解析結果ウィンドウを表示している代表的なユーザインターフェースを示した図である。
【0033】
図23】代表的な一実施形態における、4つの別々のターゲットについての変数解析結果の比較を表示している代表的なユーザインターフェースを示した図である。
【発明を実施するための形態】
【0034】
本発明の実施形態は、キーパラメータを識別するための並びにプロセスモデル較正及び変動性解析を実施するための解析モジュールを含む、半導体デバイス製作のための仮想製作環境を提供する。しかしながら、キーパラメータ識別、プロセスモデル較正、最適化、変動性解析、及び実施形態によって提供されるその他の特徴を論じる前に、先ず、本発明の解析モジュールが組み込まれてよい代表的な3D設計環境/仮想製作環境が説明される。
[代表的な仮想製作環境]
【0035】
図1は、本発明の一実施形態を実行に移すのに適した代表的な仮想製作環境1を示している。仮想製作環境1は、ユーザ2によってアクセスされる計算機器10を含む。計算機器10は、ディスプレイ120と通信している。ディスプレイ120は、計算機器の一部である表示画面であってよい、又は計算機器10と通信している別個のディスプレイ機器若しくは表示画面であってよい。計算機器10は、PC、ラップトップコンピュータ、タブレット型計算機器、サーバ、又は1つ以上のプロセッサ11を備え仮想製作アプリケーション70、3Dモデル化エンジン75、及び解析モジュール79(後ほど更に説明される)の動作をサポートできるその他の何らかのタイプの計算機器であってよい。(1つ又は複数の)プロセッサは、1つ以上のコアを有してよい。計算機器10は、ランダムアクセスメモリ(RAM)12、読み出し専用メモリ(ROM)13、及びハードドライブ14などがあるがこれらに限定はされない揮発性及び不揮発性のストレージも含んでいてよい。計算機器10は、また、その他の計算機器との通信を可能にできるように、ネットワークインターフェース15も備えていてよい。計算機器10は、単独の計算機器ではなく、並列に又はその他の組み合わせで作業する複数の計算機器を備えた計算システムとして実装されてもよいことがわかる。
【0036】
計算機器10は、3Dモデル化エンジン75を含む仮想製作アプリケーション70を格納及び実行してよい。3Dモデル化エンジン75は、アルゴリズム1(76)、アルゴリズム2(77)、及びアルゴリズム3(78)などの、半導体デバイス構造を仮想的に製作するために使用される1つ以上のアルゴリズムを含んでいてよい。3Dモデル化エンジン75は、半導体デバイス構造モデルデータ90を生成する仮想製作「進行」を実施するために、入力データ20を受け付けてよい。仮想製作アプリケーション70及び3Dモデル化エンジン75は、仮想製作進行の結果を生成する及び表示するために使用される幾つかのユーザインターフェース及びビューを形成してよい。例えば、仮想製作アプリケーション70及び3Dモデル化エンジン75は、仮想製作進行を起こすために使用されるレイアウトエディタ121、プロセスエディタ122、及び仮想製作コンソール123を表示してよい。仮想製作アプリケーション70及び3Dモデル化エンジン75は、また、仮想製作進行の結果及び半導体デバイス構造の仮想製作中に3Dモデル化エンジン75によって生成される3D構造モデルをそれぞれ表示するための、表・図式計測結果ビュー124及び3Dビュー125も表示してよい。仮想製作アプリケーション70は、後ほど更に論じられるように3Dモデルの解析を実施するための解析モジュール79も含んでいてよい。
【0037】
入力データ20は、2D設計データ30及びプロセスシーケンス40の両方を含む。プロセスシーケンス40は、複数のプロセス段階43、44、47、48、及び49で構成されてよい。本書で更に説明されるように、プロセスシーケンス40は、1つ以上の仮想計測測定プロセス段階45も含んでいてよい。プロセスシーケンスは、更に、上記のプロセス段階又は仮想計測測定プロセス段階のうちの1つ以上を含む1つ以上のサブシーケンスを含んでいてよい。2D設計データ30は、レイヤ1(32)、レイヤ2(34)、及びレイヤ3(36)などの1枚以上のレイヤを含み、これらは、通常、GDS II(Graphical Design Systemヴァージョン2)又はOASIS(Open Artwork System Interchange Standard)などの業界標準のレイアウト形式で提供される。
【0038】
入力データ20は、材料タイプ1(62)及び材料タイプ2(64)などの材料タイプの記録と各材料タイプの具体的材料とを含む材料データベース60も含んでいてよい。プロセスシーケンス内のプロセス段階の多くは、材料データベース内の1つ以上の材料を参照してよい。各材料は、名称と、演色などの何らかの属性とを有する。材料データベースは、別個のデータ構造に格納されてよい。材料データベースは、階層を有していてよく、そこでは、タイプ及びサブタイプによって材料がグループ分けされてよい。プロセスシーケンス内の個々の段階は、個々の材料又は母材タイプを参照してよい。材料データベース内の階層は、材料データベースを参照しているプロセスシーケンスがより容易に修正されることを可能にする。例えば、半導体デバイス構造の仮想製作では、プロセスシーケンスの過程の途中に複数タイプの酸化物材料が構造モデルに追加されることがある。特定の酸化物が追加された後は、後続の段階がその材料を変化させる恐れがある。もし、材料データベース内に階層が無く、新しいタイプの酸化物材料を追加する段階が既存のプロセスシーケンスに挿入されると、酸化物材料に影響を及ぼすかもしれない全ての後続段階も、その新しいタイプの酸化物材料を含むように修正されなければならない。階層に対応した材料データベースがあれば、酸化物など特定クラスの材料に作用する段階は、同タイプの材料一覧ではなく母材タイプのみを参照すればよい。すると、新しいタイプの酸化物材料を追加する段階がプロセスシーケンスに挿入されたときに、酸化物という母材タイプのみを参照する後続の段階を修正する必要がない。したがって、階層材料は、プロセスシーケンスを修正から回復しやすくする。階層材料の更なる利点は、母材タイプのみを参照するプロセス段階及びプロセスシーケンスの在庫が作成及び再利用できることである。
【0039】
3Dモデル化エンジン75は、入力データ20を使用して、プロセスシーケンス40によって指定された動作/段階のシーケンスを実施する。後ほど更に説明されるように、プロセスシーケンス40は、仮想製作進行中におけるプロセスシーケンス内の、構造部品の測定が成されるべき点を示す、1つ以上の仮想計測段階45、49を含んでいてよい。測定は、2D設計データ30内のレイヤに前もって追加されたロケータ形状を使用して成されてよい。或いは、測定場所は、ロケータ形状の使用に代わり、2D設計データ内の(x,y)座標などの代替の手段によって又は2D設計データ30内の場所を指定するその他の何らかの手段によって指定されてよい。仮想製作進行中におけるプロセスシーケンス40の実施は、仮想計測データ80及び3D構造モデルデータ90を生成する。3D構造モデルデータ90は、半導体デバイス構造の構造モデルの3Dビューを生成するために使用されてよく、該ビューは、3Dビューア125に表示されてよい。仮想計測データ80は、処理を経て、表・図式計測結果ビュー124においてユーザ2に提示されてよい。
【0040】
半導体デバイスなどの統合技術の成功には、多数の構造寸法が不可欠であるので、デバイス構造を製作するために使用される多くの相互関連プロセス段階と、形成される構造との間の関係を見つけることが不可欠である。プロセスシーケンス内の一段階によって生じる構造修正が、そのシーケンス内の先行する及び後続の段階に影響されるかもしれず、特定の一段階が、明白でない形で構造寸法に影響するかもしれない。仮想製作環境は、作成中のデバイスから構造測定値が自動的に抽出されることを可能にする。測定値の自動抽出は、プロセスシーケンス内の仮想計測段階を、その測定が不可欠であるプロセス内の一点に指定することによって達成される。この仮想計測測定のためのロケータ形状は、設計データ内の一レイヤに追加でき、仮想計測測定段階によって指定できる。この仮想計測測定からの出力データは、その他のモデル化結果との又は物理計測測定値との定量比較を提供するために使用できる。この仮想計測測定の機能は、処理シーケンスの最中に、統合プロセスフロー内の適切な点において物理的な臨界寸法を抽出するために提供される。
【0041】
デバイス構造内の指定場所に仮想計測測定を提供する機能は、従来の物理ファブ測定技術と比べて大きな向上をもたらす。通常、物理ファブ内測定は、製品ダイスに隣接するスクライブライン、即ち切削した切り口に製作された特定のキャラクタライズ構造に対して成される。ほとんどの場合、これらのキャラクタライズ構造は、光スポットサイズなどの測定技術の限界に対応するように設計される必要がある。したがって、キャラクタライズ構造は、製品ダイス上の実際の構造を完全に表すものではない。これらの相違ゆえに、ファブ内測定のユーザは、一般的に、キャラクタライズ構造に対する測定から製品構造に関する結果を推察するという課題に直面する。仮想製作環境では、測定は、プロセスシーケンス内の指定の点において任意の設計レイアウトに追加でき、それによって、相互に関連するプロセス段階が構築中の仮想構造モデルに及ぼす影響に関して多くの洞察を提供できる。このようにして、キャラクタライズ構造を測定して製品構造に関する結果を推察するというファブ内の課題が解消される。
【0042】
図2は、仮想製作環境において仮想製作進行をセットアップするための代表的な仮想製作コンソール123を示している。仮想製作コンソール123は、仮想的に製作されている半導体デバイス構造のためのプロセスシーケンス202及びレイアウト(2D設計データ)204をユーザが指定することを可能にする。しかしながら、仮想製作コンソールは、テキストベースのスクリプトコンソールであってもよく、このようなコンソールは、ユーザに、所要の入力を指定して構造モデルの構築を開始させるスクリプトコマンドを入力する手段又はプロセスシーケンス内の特定の段階についてのパラメータ値範囲に対応する構造モデル群の構築を開始させる手段を提供する。後者は、仮想実験(後ほど更に論じられる)と見なされる。
【0043】
図3は、仮想製作環境における代表的なレイアウトエディタを示している。レイアウトエディタ121は、ユーザによって仮想製作コンソール123において指定された2D設計レイアウトを表示する。レイアウトエディタでは、設計データ内の様々なレイヤを表すために、色が使用されてよい。各レイヤ上で形状又は多角形によって囲われた区域は、統合プロセスフローのフォトリソグラフィ段階中にウエハ上のフォトレジスト被覆が光に暴露されえる又は光から保護されえる領域を表す。1枚以上のレイヤ上の形状は、フォトリソグラフィ段階で使用されるマスクを形成するために組み合わされて(ブーリーンされて)よい。レイアウトエディタ121は、任意のレイヤ上において多角形を挿入、削除、又は修正する、及び2D設計データ内においてレイヤを挿入、削除、又は修正する手段を提供する。仮想計測測定の場所を示す形状又は多角形を含める目的だけのために、レイヤが挿入できる。矩形302、304、306が、挿入されたレイヤ(異なる色で示されている)に追加され、仮想計測測定の場所を記す。上記のように、ロケータ形状を使用する以外に、仮想計測測定の場所を指定するためのその他のアプローチが仮想製作環境で利用されてもよい。設計データは、3D構造モデルを構築するために、プロセスデータ及び材料データベースと組み合わせて使用される。
【0044】
レイアウトエディタ121に表示された設計データ内の挿入されたレイヤは、挿入されたロケータ形状を含んでいてよい。例えば、ロケータ形状は、矩形であってよく、その長い方の辺は、3D構造モデルにおける測定の方向を示す。例えば、図3において、第1のロケータ形状302は、仮想計測測定のためのダブルパターニングマンドレルを記していてよく、第2のロケータ形状304は、仮想計測測定のためのゲートスタックを記してよく、第3のロケータ形状306は、仮想計測測定のためのトランジスタのソース又はドレインのコンタクトを記していてよい。
【0045】
図4は、仮想計測測定における代表的なプロセスエディタ122を示している。ユーザは、プロセスエディタでプロセスシーケンスを定める。プロセスシーケンスは、ユーザによって選択された構造を仮想的に製作するために行われるプロセス段階を順番に挙げたリストである。プロセスエディタは、各ライン若しくは各群のラインが一プロセス段階に対応するようなテキストエディタであってよい、又は図4に示されるような専用のグラフィカルユーザインターフェースであってよい。プロセスシーケンスは、階層状であってよく、これは、プロセス段階がサブシーケンスに及びサブシーケンスのサブシーケンスにグループ分けされてよいことを意味する。総じて、プロセスシーケンス内の各段階は、ファブ内における実際の段階に相当する。例えば、反応性イオンエッチング動作のためのサブシーケンスは、フォトレジストをスピン塗布する段階、レジストをパターニングする段階、及びエッチング動作を実施する段階を含むかもしれない。ユーザは、各段階又は各サブ段階のために、動作タイプに適したパラメータを指定する。パラメータの一部は、材料データベース内の材料への及び2D設計データ内のレイヤへの参照である。例えば、初期堆積動作のためのパラメータは、堆積されている材料、堆積の呼び厚さ、及び異方性、即ち横方向対縦方向の成長比である。この初期堆積動作は、化学気相成長(CVD)などの実際のプロセスをモデル化するために使用できる。同様に、初期エッチング動作のためのパラメータは、(設計データからの)マスク名、動作によって影響される材料のリスト、及び異方性である。
【0046】
プロセスシーケンスには、幾百もの段階があるかもしれず、プロセスシーケンスは、サブシーケンスを含んでいてよい。例えば、図4に示されるように、プロセスシーケンス410は、選択された段階413などの複数のプロセス段階で成るサブシーケンス412を含んでいてよい。プロセス段階は、利用可能なプロセス段階のライブラリ402から選択されてよい。選択された段階413について、プロセスエディタ122は、ユーザが全ての必要パラメータ420を指定することを可能にする。例えば、ユーザは、材料データベース404内の材料リストから材料を選択し、プロセス段階413におけるその材料の使用のためのプロセスパラメータ406を指定できてよい。
【0047】
プロセスシーケンス内の1つ以上の段階は、ユーザによって挿入される仮想計測段階であってよい。例えば、CDが臨界寸法を示すときに、プロセスシーケンス412への段階4.17「CDを測定する」の挿入(414)は、2D設計データ内の1枚以上のレイヤ上に前もって挿入された1つ以上のロケータ形状を使用して、仮想製作進行中におけるその点において仮想計測測定が成されるようにするだろう。製作シーケンスへの仮想計測段階の直接的な挿入は、製作プロセスの最中における、着目されている重大な点において仮想計測測定が成されることを可能にする。仮想製作における段階の多くは、最終構造の形成で相互に作用するので、統合プロセスフロー内の様々な点において断面寸法及び断面積などの構造の幾何学的性質を決定する機能は、プロセス開発者及び構造設計者から大きな関心を寄せられている。
【0048】
図5は、仮想計測測定データを生成するための、仮想製作環境における代表的な段階シーケンスを示している。シーケンスは、製作される半導体デバイス構造をユーザが選択することから始まる(段階502)。ユーザは、利用可能な複数の設計データファイル群のなかから選択し、次いで、その設計データ内の矩形領域を選択してよい。例えば、ユーザは、FinFET又は受動型レジスタ又はメモリセルを選んでよい。製作される構造の決定/選択に続いて、ユーザは、プロセスシーケンスをプロセスエディタ122にエントリし(段階504a)、所望の構造をもたらすことが予期される2D設計データを選択する(段階504b)。随意として、ユーザは、レイアウトエディタ121において設計データを作成又は修正してよい。プロセスエディタでは、ユーザは、発展していく構造内の指定場所において仮想計測測定が成されることをユーザが望むだろう仮想製作の最中における点を指定する1つ以上の仮想計測段階をプロセスシーケンスに挿入してよい(段階506a)。ユーザは、仮想計測段階によってその測定を実施するために使用されるロケータ形状を、レイアウトエディタ121に表示された2D設計データに挿入してよい(段階506b)。ロケータ形状の重要性は、リクエストされる測定のタイプに依存する。例えば、矩形の長い方の軸が、構造の断面に対して成される長さ測定の方向及び範囲を示してよい、又は矩形自体が、2つの材料どうしの接触面積が測定される領域を指してよい。上述された、プロセスエディタにおける段階は、ともに、仮想製作環境においてレイアウトエディタにおける段階の前に実施されてよい又はその逆もあってよいことがわかる。
【0049】
2D設計データ内の1枚以上のレイヤに1つ以上のロケータ形状が追加され(段階506b)、プロセスシーケンスに(1つ又は複数の)仮想計測段階が追加された(段階506a)後、ユーザは、仮想製作コンソール123を使用して仮想製作進行をセットアップする(段階508)。仮想製作進行中、プロセスシーケンス40内のプロセス段階は、3Dモデル化エンジン75によって指定された順番で実施される。仮想製作が仮想計測段階に到達すると、製作されている構造内の指定された部品の仮想「測定」が実施される。モデル化エンジンによって成される計算は、リクエストされている測定の性質に依存し、総じて、ファブ内における類似の物理的測定技術と一致している。例えば、ファブ内における臨界寸法走査型電子顕微鏡(CD-SEM)測定は、構造の上面の向きの急速な変化を検出することによって側壁を見つける。同様に、仮想計測動作では、3Dモデル化エンジンは、ロケータ矩形によって指定された領域内の構造の上面を抽出し、該表面を、それが矩形の長い方の軸と垂直軸との交点によって形成される面と交わる部分に沿って調べ、傾斜が閾値(例えば5度)を超えて変化するところを探し出す。傾斜が大きく変化するところは、構造内における畝の底面、頂面、及び側面などの、特徴の外面を形成する。底面、上面、及び側面の場所が確立されたら、計測段階によって指定された垂直場所(底、中間、又は頂)における特徴の側面間の距離が計算される。3Dモデル化エンジンは、構造モデルを構築するのに伴って、1つ以上のタイプの出力を生成する。出力のタイプの1つは、構造モデル自体であり、プロセスシーケンス内の1つ以上の時点におけるその状態を含んでいてよい。3Dモデルは、3Dビューア125に表示されてユーザに見せられてよい(段階512a)。3Dモデル化エンジンは、仮想計測データのエクスポートも行う(段階510)。仮想計測データ80は、更なる処理のために自動データ解析ツールにエクスポートされてよい、又は表・図式計測結果ビュー124若しくはその他のビューなどのユーザインターフェースを通じて表示されてユーザに見せられてよい(段階512b)。もし、ビュー又は解析されるときに、その構造が満足のいくものであるならば、仮想製作進行は終了する(段階514)。もし、3Dモデル化エンジンによって形成された構造が満足のいくものでないならば、ユーザは、プロセスシーケンス及び/又は2D設計データを修正し(段階516)、新しい仮想製作進行がセットアップされる(段階508)。
【0050】
図6は、仮想製作環境における代表的な3Dビューア125を示している。3Dビューア125は、3Dモデル化エンジン75によって生成された3Dモデルを表示するための3Dビューカンバス602を含んでいてよい。3Dビューア75は、プロセスシーケンス内における保存された状態604を表示してよく、特定の状態606が選択されて3Dビューカンバスに現れることを可能にしてよい。3Dビューアは、拡大/縮小、回転、平行移動、断面などの機能を提供する。随意として、ユーザは、3Dビューカンバス602における断面ビューをアクティブにしてよく、縮小上面ビュー608を使用して断面の場所を操作してよい。
【0051】
3Dモデル化エンジン75からの別のタイプの出力は、プロセスシーケンスに含まれる仮想計測段階によって生成されたデータである。図7は、仮想製作環境において複数の仮想計測測定段階によって生成された代表的な仮想計測測定データ80の表示を示している。仮想計測測定結果データ80は、2次元X-Yプロットや多次元グラフィックスなどの、表形式又は図式形式で表示されてよい。
【0052】
代表的な仮想製作環境において利用される技術は、幾何学をベースにしている。したがって、プロセス段階入力パラメータを物理的製作からの実際の実験結果によって較正し、仮想実験を更に予測的にすることが賢明である。このようなプロセス段階の較正は、ひと通りの技術一式を含む全ての構造に関してモデル化精度の向上をもたらす。較正は、キャラクタライズ構造又は製品構造に対する測定、計測、又はその他の物理的なキャラクタライズ方法からの個々のプロセス段階に対して実行できる。較正は、仮想計測測定データを含むモデル化の結果を、物理ファブ内において(対応するキャラクタライズ構造又は製品構造に対して)行われた対応する測定値又は計測と比較し、次いで、結果として得られる仮想的に製作された構造が物理的に製作された構造と更に良く一致するようにモデル化パラメータを調整することによって、行われてよい。モデル化プロセスパラメータの正確な較正によって、仮想製作環境は、可能にされた設計空間全体にわたり、物理的製作の結果として得られる構造を更に予測できるようになる。
【0053】
図8は、仮想製作環境においてプロセスシーケンスを較正するための代表的な段階シーケンスを示している。シーケンスは、仮想製作環境及び対応する物理的ファブ環境の両方において成される段階を含む。仮想製作環境では、ユーザは、(仮想的に製作される構造のための、)較正されるプロセスシーケンスを選択し、関連のプロセスパラメータを識別する(段階802a)。物理ファブでは、ユーザは、製作進行の最中に測定するためのキャラクタライズ構造群又は製品構造群を識別する(段階802b)。戻って仮想製作環境では、ユーザは、プロセスシーケンスをプロセスエディタにエントリし(段階804a)、キャラクタライズ構造を定める2D設計データ(レイアウト)が、利用可能な2D設計データのなかから選択される、又はその目的のためにレイアウトエディタ121で作成される(段階804b)。同じ設計データが、仮想製作及び実際のキャラクタライズに使用される。上述のように、ユーザは、1つ以上の仮想計測段階をプロセスシーケンスに挿入し(段階806a)、測定ロケータ形状を2D設計データに追加する(段階806b)。ユーザは、仮想製作コンソールにおいて仮想ファブ進行をセットアップし(段階808)、3Dモデル化エンジンは、3Dモデルを構築し、仮想計測データを生成及びエクスポートする(段階812a)。仮想製作進行に並行して又は仮想製作進行からずらして、物理製作環境は、キャラクタライズ構造又は製品構造を作成し(段階810)、これらの構造について、ファブ内画像撮影及びファブ内測定が成される(段階812b)。ユーザは、次いで、3Dビューア75における生成された仮想モデルの3Dビューを、物理的デバイス構造のファブ内撮影画像と比較してよい(段階814a)。更に、キャラクタライズ構造の測定値群は、プロセスシーケンスに仮想計測段階が挿入されている結果としてとられた仮想計測測定値と比較されてよい(段階814b)。ほとんどの場合、この比較は、ユーザによって成されてよく、しかしながら、代わりに、事前に定義された又は対話応答式に決まった基準に基づいて自動データ解析ツールによって成されてよい。もし、ビューと画像との間及び仮想測定値果と実際の測定値との間に満足のいく一致が見られるならば(段階815)、プロセスシーケンスは、較正されたと見なされる(段階816)。しかしながら、もし、満足のいく一致が見られないならば(段階815)、ユーザは、プロセスエディタにおいてプロセスパラメータの値を修正し(段階818)、仮想製作コンソールにおいて新しい仮想製作進行がセットアップされる(段階808)。シーケンスは、次いで、満足のいく一致に達して較正が達成されるまで繰り返される。
【0054】
様々に異なる幾つかのパラメータが、シーケンス内において較正されえることがわかる。上述の説明は、仮想計測測定を行うための、プロセスシーケンスへの仮想計測段階の挿入の使用及びそれに関連する1つ以上の2Dロケータ形状の使用について言及しているが、その他の技術が仮想製作環境において利用されてもよいだろう。例えば、仮想計測は、製作の完了後に仮想デバイス構造に対して行われてよく、次いで、物理製作実行の最中/後にキャラクタライズ構造についてとられた物理的計測値と比較されてよい。
【0055】
1つの構造モデルを構築するだけでも有益だろうが、多数のモデルを構築する仮想製作には更なる価値がある。仮想製作環境は、ユーザが仮想実験を作成及び進行することを可能にしえる。仮想実験では、プロセスパラメータの値の範囲を探索できる。仮想実験は、プロセスシーケンス全体の個々のプロセスに適用されるパラメータ値のセット(パラメータごとに1つの値ではない)を指定することによってセットアップされてよい。このやり方で、1つの又は複数のプロセスシーケンスが指定できる。仮想実験モードで動作する3Dモデル化エンジン75は、次いで、プロセスパラメータのセット全体に跨る複数のモデルを構築し、その間ずっと、変動ごとの計測測定データを抽出するために、上述の仮想計測測定動作を用いる。この機能は、物理ファブ環境において通例実施される2つの基本タイプの実験を再現するために使用されてよい。第1に、製作プロセスは、確率論的(非決定論的)に自然に変動する。本書で説明されるように、各仮想製作進行のために使用される基本的に決定論的なアプローチは、それでもなお、複数の進行を行うことによって非決定論的結果を予測できる。仮想実験モードは、プロセスパラメータごとに及び多くの/全てのプロセスパラメータの変動の組み合わせごとに仮想製作環境が全統計的変動範囲にわたってモデル化を行うことを可能にする。第2に、物理ファブ内において進行される実験は、異なるウエハの製作時に意図的に変動されるパラメータのセットを指定してよい。仮想実験モードは、パラメータセットの特定の変動に対して複数の仮想製作進行を実施することによって、仮想製作進行がこのタイプの実験も再現することを可能にする。
【0056】
製作シーケンス内の各プロセスは、それ自身の固有な変動を有する。複雑なフローにおいて全てのプロセス変動の集まりが及ぼす影響を理解することは、特に変動の組み合わせの統計的確率を考慮する場合に極めて困難である。仮想実験が作成されると、プロセスシーケンスは、原則的に、プロセス記述に含まれる数値プロセスパラメータの組み合わせによって記述される。これらのパラメータは、それぞれ、(標準偏差又はσ値で表される)その総変動によって、したがって、ガウス分布上の又はその他の適切な確立分布上の複数の点によって、キャラクタライズできる。もし、プロセス変動の全組み合わせ(例えば±3σ、±2σ、±1σなどの各ガウス上の複数の点、及び各パラメータの公称値)を調べるために、仮想実験が設計及び実行されるならば、シーケンス内の仮想計測段階から得られる図式出力及び数値出力は、この技術の全変動空間をカバーしている。この実験調査における各事例は、仮想製作システムによって決定論的にモデル化されるが、仮想計測結果の集まりには、統計的分布が含まれる。実験の各事例に総変動計量を帰させるために、統計的に相関性がないパラメータの根二乗和(RSS)計算などの単純な統計解析が使用できる。次いで、数値出力及び図式出力の両方の含む全ての仮想計測出力が、総変動計量に照らして解析できる。
【0057】
物理ファブ内における通常の試行錯誤式の実験の実施では、名目上のプロセスからもたらされる構造測定がターゲットにされ、該構造測定における総変動のために、後続のプロセスで見越して対処しなければならない過度に大きい(保守的な)余白(総構造余白)を指定することによって、プロセス変動が説明される。反対に、仮想製作環境における仮想実験は、統合プロセスフロー内の任意の点における構造測定のための総変動エンベロープを定量的に予測できる。すると、構造測定の、公称値ではなく総変動エンベロープが開発ターゲットになりえる。このアプローチは、構造設計の重大な目標を犠牲にすることなく統合プロセスフロー全体を通して、許容可能な総構造余白を保証することができる。総変動をターゲットにするこのアプローチは、名目上のプロセスをターゲットにすることによって生じただろう名目上の構造と比べて準最適な(即ち、見た目の美しさが劣る)名目上の中間構造又は最終構造をもたらすだろう。しかしながら、総プロセス変動のためのエンベロープが考慮されており、このことの方が統合プロセスフローのロバスト性及び収率の決定において重要であるゆえに、この準最適な名目上のプロセスは、重大ではない。このアプローチは、名目上のプロセスの重視から総プロセス変動のエンベロープの重視への、半導体技術開発におけるパラダイムシフトである。
【0058】
図9は、複数の半導体デバイス構造モデルのための仮想計測データを生成する仮想実験をセットアップ及び実施するための、仮想製作環境における代表的な段階シーケンスを示している。シーケンスは、プロセスシーケンス(結果を構造的に更に予測可能にするために前もって較正されていてよい)をユーザが選択すること(段階902a)、及び2D設計データを識別/作成すること(段階902b)から始まる。ユーザは、解析するプロセスパラメータ変動を選択(段階904a)してよい、及び/又は解析する設計パラメータ変動を選択(段階904b)してよい。ユーザは、上記のように1つ以上の仮想計測段階をプロセスシーケンスに挿入し(段階906a)、測定ロケータ形状を2D設計データに追加する(段階906b)。ユーザは、専用のユーザインターフェースである自動パラメータエクスプローラ126の助けによって、仮想実験をセットアップしてよい(段階908)。代表的な自動パラメータエクスプローラが、図10に示されており、該エクスプローラは、プロセスパラメータ1002、1004,1006、及び構築される3Dモデルのリストをそれらに対応する様々なパラメータ値1008とともに表示すること、並びにそれらをユーザが変化させることを可能にしえる。仮想実験のためのパラメータ範囲は、表形式で指定できる。3Dモデル化エンジン75は、3Dモデルを構築し、レビューのために仮想計測測定データをエクスポートする(段階910)。仮想実験モードは、全ての仮想測定/計測動作からの出力データ処理を提供する。仮想計測測定からの出力データは、構文解析を経て有用な形に集約されてよい(段階912)。
【0059】
構文解析及び集約によって、後続の定量解析及び統計解析が行える。仮想実験を構成する一連の仮想製作進行から3Dモデルデータ及び仮想計測測定結果を収集し、それらをグラフ及び表の形式で提示するために、別個の出力データコレクタモジュール110が使用されてよい。図11は、仮想製作環境における仮想実験によって生成された仮想計測データの代表的な表形式表示を示している。該表形式表示には、仮想実験の最中に収集された仮想計測データ1102と、仮想製作進行のリスト1104とが表示されてよい。
【0060】
図12は、仮想製作進行における仮想実験によって生成された仮想計測データの代表的な2次元X-Yグラフのプロット表示を示している。図10に示された例では、プロセスシーケンス内の先行段階で3パラメータを変化させたことに起因するシャロー・トレンチ・アイソレーション(浅い溝による分離)(STI)段差高さの総変動が示されている。各ひし形マーク1202は、仮想製作進行を表す。変動エンベロープ1204も表示されており、また、入ってくる6σの変動を通してロバスト性を実現するためには下流プロセスモジュールがSTI段差高さにおいておおよそ10.5nmの総変動に対応しなければならないという結論1206も図示されている。仮想実験結果も、多次元グラフ形式で表示できる。
【0061】
仮想実験の結果が集約されたら、ユーザは、生成された3Dモデルを3Dビューアにおいてレビューすることができる(段階914a)とともに、各仮想製作進行について提示された仮想計測測定のデータ及び計量をレビューすることができる(段階914b)。仮想実験の目的に応じて、ユーザは、所望の名目上の構造モデルを実現するプロセスシーケンスを開発することを目的として、又はプロセス段階入力パラメータを更に較正するために、又はプロセスシーケンスを最適化して所望のプロセスウィンドウを実現するために、3Dモデル化エンジンからの出力を解析できる。
【0062】
(1つの仮想実験を構成する)パラメータ値の範囲に対応して複数の構造モデルを構築するという、3Dモデル化エンジン75のタスクは、多くの数値計算を必要とし、したがって、もし、単独の計算機器で実施されるならば、非常に長い時間(幾日も又は幾週も)を要するだろう。意図された値の仮想製作を提供するためには、仮想実験のためのモデル構築が、物理実験の幾倍もの速さで成されなければならない。今日のコンピュータによってこの目標を達成するには、並列処理のためのありとあらゆる機会を活用する必要がある。3Dモデル化エンジン75は、個々のモデル化段階を実施するために、複数のコア及び/又はプロセッサを使用する。また、同じ群内の異なるパラメータ値に対応する構造モデルどうしは、完全に独立しており、したがって、複数のコア、複数のプロセッサ、又は複数のシステムを使用して並行して構築できる。
【0063】
仮想製作環境における3Dモデル化エンジン75は、構造モデルをボクセルの形で表してよい。ボクセルは、基本的に3D画素である。各ボクセルは、同じサイズの立方体であり、1種類以上の材料を含んでいてよい、又は材料を含んでいなくてよい。当業者ならば、3Dモデル化エンジン75がその他の形式で構造モデルを表してもよいことがわかる。例えば、3Dモデル化エンジンは、3D機械式CADツールで使用されるような従来のNURBSベースの立体モデル化カーネルを使用してもよく、ただし、デジタルボクセル表現に基づくモデル化動作の方が、従来のアナログ立体モデル化カーネルよりも遥かにロバストである。このような立体モデル化カーネルは、様々な幾何学的状況に対処するために、多数の発見的規則に頼るのが一般的であり、モデル化動作は、発見的規則が状況を正確に見込めないときに、機能しなくなる恐れがある。NURBSベースの立体モデル化カーネルにとって問題を引き起こす半導体構造モデル化の態様には、堆積プロセスによって形成される極薄の層と、面の合併及び/又は幾何学形状の断片化をもたらすエッチングフロントの伝搬がある。
【0064】
仮想製作環境は、プロセスシーケンスに含まれて3Dモデル化エンジン75がプロセス及び材料に特有な広範囲のエッチング挙動をモデル化することを可能にするマルチエッチングプロセスの実施を可能にしえる。高密度半導体デバイスのためのプロセスフローにおけるパターニング動作は、多くの場合、プラズマエッチングを使用して実施される。プラズマエッチングは、ドライエッチング、反応性イオンエッチング(RIE)、誘導結合プラズマ(ICP)エッチングなどの多くの異なる名称で知られる。多岐にわたる動作条件及び化学剤が、プロセスエンジニアがプラズマエッチング挙動を微調整して複数の様々な材料クラスで多様なエッチング物理学を選択的に実現することを可能にする。この挙動柔軟性が、幾枚かの材料層にわたるパターニングの際に所望の3D構造を実現する鍵である。通常、化学エッチング、スパッタリング、ポリマ材料の堆積又は再堆積、静電帯電、静電集束、及びシャドーイングを含むがこれらに限定はされない幾つかの異なるタイプの物理学が関わっている。この多様な領域にわたる物理学は、同等範囲のエッチング挙動を及びひいては構造的形状を生み出す。
【0065】
プラズマエッチングに関わる物理学を十分な精度で直接的にシミュレーションすることは、非常に困難であり尚且つ遅い。マルチエッチングプロセス段階は、エッチングのタイプ及びエッチングされている材料に特有な挙動パラメータの縮小パラメータ群を使用してプラズマエッチングをシミュレーションすることによって、物理学をベースにしたシミュレーションの問題を回避する。これは、エッチングプロセスの物理学を直接的にシミュレーションする必要なく広範囲にわたる物理エッチング挙動を捕らえることを可能にする。例えば、等方性、先細り、及びスパッタリングという3つの主要タイプのエッチング挙動がシミュレーションされえる。随意として、4つ目のタイプのエッチング挙動、即ちシャドーイングもシミュレーションできる。
【0066】
基本の(等方性)挙動は、化学エッチングによって(物理的に)引き起こされ、その結果、エッチング可能表面上の点からそのエッチング可能表面の局所的な向きにかかわらず全方向に同じ速度で材料がエッチングされる。横方向対垂直方向の比率を制御する単独入力パラメータである「側方比」によって、基本の挙動がモデル化されてよい。例えば、1(1.0)の値の側方比は、エッチング速度が全方向に均一であることを示す。1未満の側方比は、(垂直表面上における)横方向へのエッチング速度が(水平表面上における)垂直方向へのエッチング速度よりも遅いことを示す。
【0067】
先細り挙動は、指向性エッチング挙動とポリマ堆積との組み合わせによって(物理的に)引き起こされる。ポリマ堆積は、指向性エッチングプロセスの副次的な影響として生じる。水平表面を垂直表面よりも大幅に速くエッチングする指向性エッチングプロセス中は、垂直に近い表面上にポリマが蓄積しえる。エッチングと堆積との間のこの競合は、先細った側壁プロフィールをもたらす。先細り挙動は、単独入力パラメータである先細り角度によってモデル化されてよい。先細り角度は、堆積速度とエッチング速度との均衡が保たれる臨界角度を記述するものである。随意の第2のパラメータである側方比は、基本の挙動について上記で定義されたのと同じ意味を有する。
【0068】
スパッタリング挙動は、エネルギイオンによる衝撃を通じた材料の直接的物理的除去を指し、その結果として、突き出した縁(凸縁)を及び場合によっては隅を優先的に除去する。スパッタリングは、2つのパラメータ、即ち最大スパッタリング収率の角度と、垂直エッチング速度に相対的なスパッタリング速度とによってモデル化されてよい。
【0069】
シャドーイングは、局所的な高さ変化によって引き起こされる指向性イオン束の減少を指し、一部の構造ではエッチング速度を効果的に減少させる。この効果は、場合によっては著しくなることがあり、その結果として、セルの場所ごとにエッチング速度を様々にする。シャドーイングは、垂直軸に相対的なエネルギイオンの入射角度を記述するための単独のパラメータを使用してモデル化されてよい。
【0070】
多材料・多物理学エッチングのモデル化のためには、上述された入力パラメータが、仮想製作環境における適切な数値モデル化アルゴリズムに形成される必要がある。数値モデル化アルゴリズムは、単独材料速度関数及び多材料速度関数と、表面進化技術とを含む。単独材料速度関数は、局所的な表面の向き(即ち、表面法線方向)の関数としてエッチング速度を定義し、所望のエッチング挙動を生み出すために経験的に決定される。なお、単独材料速度関数は、複数タイプのエッチング挙動を組み合わせてよいことも留意されるべきであり、例えば、先細りエッチング及びスパッタリングエッチングは、ともに、基本的な(等方性)エッチングに関係付けられたパラメータを含んでいてよい。多材料速度関数は、単独材料速度関数の組み合わせであり、局所的な表面の向き及び局所的な材料タイプの両方の関数として局所的なエッチング速度を計算する。エッチング比パラメータは、エッチング可能材料の相対エッチング速度を定め、単独材料速度に関する乗数である。
【0071】
速度関数が定義されたら、エッチング可能表面の位置を3次元で見つけて進化させるために、適切な表面進化技術が使用されてよい。エッチング可能表面は、速度関数を評価することによって決定された局所的なスカラ速度にしたがって、その局所的な法線方向に移流又は移動される。スカラ速度は、エッチング可能表面上の着目点で計算されなくてはならず、エッチング可能表面の幾何学形状が進化するのに伴って、定期的に再計算されなければならない。
【0072】
異なるタイプの複数の表面進化技術が、仮想製作環境におけるマルチエッチングプロセスをシミュレーションするための数値アルゴリズムによって利用されてよい。移動表面は、任意の適切な数値的空間離散化を使用して表されてよい。明示的なフロント追跡方法が使用されてよく、例として、ストリング方法、点と線方法(2D)、及び多角形表面(3D)が挙げられる。距離場、液量、又はボクセルなどの代替の暗示的表面表現が使用されてもよい。移動表面を時間とともに前進させるために、任意の適切な時間依存数値技術が使用されてよい。
【0073】
半導体デバイス構造を仮想的に製作するために使用されるプロセスシーケンスに、選択エピタキシャルプロセスが含められてよい。選択エピタキシャルプロセスは、半導体デバイス構造の結晶基板表面上の結晶材料層のエピタキシャル成長を仮想的にモデル化する。選択エピタキシャルは、多くはトランジスタチャネルに機械的応力を作用させてパフォーマンスを向上させることを目的として、現在の半導体プロセスフローに広く使用されている。エピタキシャル成長の鍵となる特性は、結晶方向へのその依存性である。半導体デバイスは、単結晶シリコンウエハ上に、即ち、ウエハの大部分を途切れずに覆う反復結晶格子構造の形で原子が配置されたシリコン材料上に製作されるのが一般的である。シリコン結晶構造は、異方性であり(即ち、全方向に対称的なのではなく)、シリコン表面は、幾つかの特定の結晶方向に、より安定している。これらの方向は、ミラー指数を使用して〈100〉、〈110〉、及び〈111〉として識別される主要な結晶面群によって定められ、成長特性に対して最も強い影響を有する。エピタキシャルプロセスにおける圧力、温度、及び化学前駆体を変化させることによって、技術者らは、3つの主要面の相対的成長率を制御できる。例えば〈211〉、〈311〉、〈411〉などの非主要面における成長率も変化するが、このような変化は、多くの場合、エピタキシャル成長した構造の最終的形状の決定に対して大きな影響力を持たない。
【0074】
仮想製作環境は、エピタキシャル成長をモデル化するために、表面進化アルゴリズムを使用しえる。エピタキシャル成長が起きている表面(成長表面)は、スカラ移流速度にしたがって移流又は移動される。成長率は、局所的な表面法線方向及び固定の入力パラメータに基づいて被選択点において計算され、距離及び時間の両方において局所的であり、表面をその法線方向に移動させる。成長表面は、任意の適切な数値的空間離散化を使用して表されてよい。明示的なフロント追跡方法が使用されてよく、例として、ストリング方法、点と線方法(2D)、及び多角形表面(3D)が挙げられる。距離関数、液量、又はボクセルなどの代替の暗示的表面表現が使用されてもよい。時間とともに移動表面を前進させるために、任意の適切な時間依存数値技術が使用されてよい。
【0075】
仮想製作環境における選択エピタキシャルプロセスは、3つの主要面群〈100〉、〈110〉、及び〈111〉の成長率を固定の入力パラメータとして用いる。これらの入力パラメータは、関連する面のうちの任意の面と合致する表面における成長率を定義する。更なる入力パラメータとして、隣接する非結晶材料上における成長率が挙げられる。3Dモデル化座標系とウエハの結晶格子との間の関係も、エピタキシャル成長率を計算するときに考慮されてよい。3Dモデル化座標系は、普通は2D設計データと同じX軸及びY軸を使用し、Z軸は、普通はウエハの表面に垂直である。代替の座標系が利用されてもよい。実際のウエハ上では、結晶格子の方位は、それ以外は円形であるウエハの縁上の「平面」又は「切り欠き」によって示される。切り欠きは、2D設計データを結晶格子に相対的に所望の方向の方位にするための基準として使用される。切り欠き(又は平面)タイプ及び方向を指定する入力パラメータは、結晶格子及び関連するウエハの結晶面の、2D設計データに相対的な方位を定義しえる。留意すべきは、この関係が、3Dモデル座標系と結晶格子の座標系との間の座標変換として説明できることである。
【0076】
主要面群における成長率を使用し、結晶格子の方位を知ることで、成長表面上のあらゆる場所でエピタキシャル成長率が計算されえる。成長表面のうち、法線方向が主要な面方向と合致する区域は、その主要面の速度を割り当てられる。成長表面のうち、主要面方向と合致しない区域の場合は、隣接する主要面方向間で補間を行うことによって適切な速度を見つける必要がある。更に、結晶材料の境界におけるエピタキシャル成長の挙動も重要になりえる。エピタキシャル成長は、多くの場合、非結晶材料が堆積及びパターン化される幾つかの先行処理段階後に実施される。これらの非結晶材料は、結晶材料に隣接しているかもしれず、したがって、エピタキシャル成長にごく接近しているかもしれない。隣接する非結晶材料の例として、二酸化シリコン、窒化シリコン、又は半導体処理において一般的なその他の任意の材料がある。エピタキシャル成長は、場合によって、隣接する非結晶材料に沿ってゆっくり這い進む(過成長)こともあるし、そうならないこともある。過成長挙動は、過成長が起きる隣接材料(過成長材料)群を定めている固定の入力パラメータと、成長表面が過成長材料に沿って這い進む速度とによって、モデル化されてよい。過成長速度は、過成長材料の表面におけるエピタキシャル成長率を、成長表面が過成長材料に沿って指定の速度で移動するように修正する。また、成長表面が過成長材料に沿って移動する速度は、過成長材料表面と成長表面との間の角度に依存しえる。もし、2つの表面間の角度が閾値角度よりも大きいならば、過成長速度は無視されてよい。
【0077】
設計ルールチェック(DRC)又は光学ルールチェック(ORC)が、仮想製作環境において実施されてよい。DRC及びORCは、通常は、フォトリソグラフィマスクへの変換のための2D設計データを用意するプロセスの一環として、専用ソフトウェアによって2D設計データに対して実施されてきた。このようなチェックは、非機能的な又はあまり機能しないチップを生じるだろうレイアウトの誤差を識別することを目的として実施される。チェックは、光接近効果補正(OPC)などの光学的効果に対する補償を追加した後にも実施される。通常の設計ルール(設計マニュアルで公表され、コード化されてDRCデックに入る)は、基本的に3D本質の問題を防ぐことを意図している。しかしながら、半導体プロセス技術の複雑性が増すにつれて、設計マニュアルは、千ページ規模の文書に発展しており、体系化及び説明する2D設計ルールが幾千にも達する。多くの場合、1つの3D故障メカニズム/懸念が幾百もの2D設計ルールを作動させる可能性がある。これらの2D設計ルールの開発は、統合プロセスフローの3D特性及び結果として得られる構造に関する著しい仮定を必要とする。
【0078】
2D DRCは、設計を過度に保守的にしえる比較的単純な計算から開発される。例えば、金属相互接続層上のラインと下位のビアとの間に最小接触面積を保証することを要求される2D設計ルールを考える。ビアは、金属層とも呼ばれる、2枚の相互接続層間の垂直な導電性コネクタである、又はトランジスタ、レジスタ、若しくはコンデンサなどの、相互接続層とデバイスとの間の垂直コネクタである。
【0079】
金属配線とビアとの間の接触面積が指定の閾値を上回らなければならないという、3Dで記述するには非常に単純である基準を満たすために、多くの追加の2D DRCが必要とされる。2D DRCの状況は、リソグラフィ段階中における過度な又は不十分な暴露、マスクの誤った登録、(化学機械研磨(CMP)を通じた)ビア層の平坦化、及びプラズマエッチングによって生じる側壁の先細りなどの、接触面積に影響しえる複数の製造上の変動を検討するときに、よりいっそう複雑になる。これらの統計的変動の全てを、2D DRCを作動させる単純な式に含めることは不可能であり、したがって、DRCは、製造上の変動を防ぐために必要とされるよりも厳しいものになる。これらの過度に厳しい2D DRCは、設計を、ダイ上に無駄な区域を伴う準最適なものにする恐れがある。
【0080】
2D DRCの環境とは対照的に、仮想製造環境は、最小線幅、特徴間の最小空間、及び最小接触面積などのチェックを、2Dから3Dへの変換に関する仮説を立てることなく直接3Dで実施しえる。3Dで直接実施されるチェックは、本書では、「3D DRC」と呼ばれる。3D DRCの利点は、1つには、必要とされるチェック回数が、2D環境で必要とされる回数よりも大幅に少ないことである。その結果、チェックは、2Dチェックよりもロバストで且つ容易である。更に、3Dルール群を構成するルール数が大幅に少ないことによって、仮想製作環境は、プロセスパラメータにおける一定範囲の統計的変動についてチェックを実施できる。
【0081】
3D-DRCは、やはり仮想製作環境で実施されえる仮想測定/計測動作とは区別される。仮想測定計測動作は、ファブ内における実際の測定動作及び計測動作を模倣し、そうすることによって、測定場所が指定され、距離値又は面積などの計量が出力される。他方、3D DRCの場合は、幾何学的基準が指定され、該基準の場所及び値が求められる。要するに、場所は、3D DRC動作の、入力ではなく出力である。例えば、仮想計測動作が、2D設計データ内においてロケータによって示された特定の場所における酸化物膜厚測定を指定しえるのに対し、最小層厚に対する3D DRCは、3Dモデル内において酸化物膜厚が指定の閾値未満であるあらゆる(1つ又は複数の)場所をリクエストしえる。次いで、3D構造モデルは、指定の最小寸法基準が満たされている場所を調べるために探索されてよい。同様に、3D DRCでも、最大寸法基準が満たされているかどうかを調べるために構造モデルが探索されてよい。このタイプの3D DRCは、こうして、仮想測定/計測動作では得られなかった、予期せぬ故障の要因を識別するという利点を提供する。
【0082】
3D-DRCの例として、以下が挙げられる。
・電気的な正味の遮蔽:選択された導体間の最短距離を見つける。導体は、1種類以上の導電材料でなる集合体である(「集合体」は、3D構造モデル内の(技術的には3次元の)不連続容積領域である。集合体は、単一材料又は複数材料でなってよい)。
・最短分離:選択された集合体でなるグループ内の任意の1ペアの集合体間の最短距離を見つける。
・最小線幅:選択された集合体でなるグループ内の任意の集合体を突っ切る最短距離を見つける。
・最小層厚:材料層を構成する集合体の集まりの中の任意の集合体を突っ切る最短距離を見つける。
・最小接触面積:選択された集合体でなる全ペア間の最小接触面積を見つける。
【0083】
集合体は、(1種類若しくは複数種類の)構成材料、電気伝導性、又はその他の性質に基づいて選択されてよい。3D DRCチェックの各チェックは、閾値を指定することによって拡張できる。例えば、最小線幅チェックのための閾値の指定によって、最小線幅が閾値未満である場所のリストが生成される。当業者ならば、この性質のその他のチェックが定められてよいことがわかる。
[解析モジュール]
【0084】
一実施形態では、仮想製作環境は、解析モジュールを含む。解析モジュールは、半導体プロセスインテグレータが遭遇する使用事例におけるワークフローを模倣するように設計される。半導体プロセスインテグレータが遭遇し解析モジュールによって対処される代表的な使用事例として、キーパラメータ識別、プロセスモデル較正、及び変動性解析が挙げられ、ただし、これらに限定はされない。キーパラメータ識別では、解析モジュールは、所産(較正や欠陥モードなど)に最も強く影響するプロセス段階/パラメータを見つけてよい。プロセスモデル較正では、プロセスパラメータは、透過電子顕微鏡観察(TEM)データ又はプロセスターゲットなどを含むがこれらに限定はされない物理ファブからの測定結果に3Dモデルを一致させるために調整されてよい。変動性解析では、解析モジュールは、仕様限界設定用に構造パラメータ又は電気パラメータの変動性を推定するなどを含むがこれに限定はされないやり方によって、仮想3Dモデル群について得られた計測データの変動性をユーザが解析及び理解することを助けてよい。
【0085】
本書で説明される解析モジュールは、半導体製作環境においてパラメータ及び設定に適用される実験計画又はモンテカルロシミュレーションを通じてプロセス変動を生成し、次いで、自動統計解析、最適化、及びユーザのための視覚化を実施してよい。解析されているデータは、入力プロセスパラメータの設定、並びに仮想製作環境で作成された3D仮想半導体構造上で評価される計測、構造検索、DTCチェック、及び電気的解析を含むことができ、ただし、これらに限定はされない。実施形態は、仮想半導体製作に特徴的な問題の解決及び課題への対処を行うように選択されてカスタマイズされた統計方法を利用し、結果データを従来の第三者統計ツールにエクスポートするときに起きえるエラーを補正する。
【0086】
本発明の、仮想半導体製作環境が3Dモデルを構築する特有のやり方は、その他の実験計画法が対処しなければならない或る種の共通の問題を生じないので、実施形態は、実験計画のための、より効率的な技術も提供する。例えば、デック及びパラメータ設定が変更されなければ、仮想半導体製作環境では毎回同じ3Dモデルが生成される。したがって、3Dモデル出力へのランダム要素がなく、実験計画におけるランダム化、複製、及び遮断の3つの共通タスクが実施される必要がない。
【0087】
一実施形態では、解析モジュールが仮想製作環境に組み込まれ、第三者の統計的解決策では得られない向上した新しい機能性が得られる。一実施形態では、UI及びアルゴリズムが使用事例によって編成されて、使用事例ごとに左側からの段階的な流れのUIをたどってよい。この設計は、(統計学の訓練を受けていないかもしれない)ユーザを、解析でミスを犯さずに適切な解析段階を踏むように強く誘導できるだろう。解析モジュールは、また、各特定の使用事例を適切に解析するために一連の解析アルゴリズムを利用する統計解析エンジンも含んでいてよい。解析モジュールは、第三者統計ソフトウェアでは適切に対処されなかった多重共線性や外れ値(後述される)などの問題を解決しえて、前述のように、例えば実験計画の最中におけるランダム化などの不必要な方法の使用を回避する。解析の結果は、幾つかのフォーマットでユーザに又は第三者ソフトウェアに提供されてよい。
【0088】
図13は、代表的な一実施形態における代表的な解析フローを示している。解析モジュールへの入力として、使用事例(例えば、キーパラメータ識別、最適化、較正、変動性解析)によって編成されえる解析タイプの選択が挙げられ、ただし、これに限定はされない。更なる代表的な入力には、着目されるプロセスパラメータ(例えば公称値及び/又は範囲として指定される)、並びに着目されるターゲット(例えば、計測値、構造検索、DTCチェック、電気的解析値)がある。一実施形態では、入力値は、3Dモデルファイルへの参照であってよい。解析モジュールは、実験的な実験の計画(DOE)(例えばスクリーニングDOE、完全実施要因DOE、モンテカルロシミュレーション)をセットアップするために進行リストの作成を、続いて進行リストの実行を実施してよく、実行時における効率を高めるためにクラスタ計算を用いてよい。実行からの出力は、パラメータの有意性/ランク付けを決定するなどの、外れ値検出結果及び統計解析結果を含んでいてよい。出力は、また、調査グラフ(例えば二変数プロットや応答面)及び間接的最適化も含んでいてよい。一実施形態では、結果は、更なる解析のために第三者ツールにエクスポートされてもよい。
[キーパラメータ識別]
【0089】
本書で説明される解析モジュールを用いた一実施形態の、代表的な一使用事例は、キーパラメータ識別である。キーパラメータ識別では、解析モジュールは、2Dレイアウトとプロセス段階とを含有するデックのユーザ選択を受信する。キーパラメータ識別使用事例の目的は、どのパラメータがターゲットに関係していてターゲットに影響を及ぼすかを決定することである。次いで、これらのパラメータは、それらの相対的重要度を示すためにランク付けされる。一実施形態では、使用事例は、7段階を有する。
【0090】
1) 実験計画を選定する。
【0091】
2) 変化させるパラメータを選択し、ユーザによって選択された水準を計画に入力する。
【0092】
3) 計画を作成して進行させる(必要に応じてエクスポートする)。
【0093】
4) 計測ターゲットを選択する。
【0094】
5) 回帰オプションを設定する。
【0095】
6) DOE結果データに追加するための又はDOE結果データから排除するための、識別された外れ値を選択する。
【0096】
7) 回帰を進行させて結果を見る。重要/キーパラメータを識別する。
【0097】
この実施形態では、第1の段階は、実験計画とも呼ばれる実験の計画(DOE)を選択する段階である。DOEは、より少ない実験作業から多くの情報が得られるように、特定の組み合わせのパラメータ設定でその回数の実験を計算するための方法である。解析モジュールは、パラメータ空間をサンプリングするための実験計画を作成するやり方として、完全実施要因計画、決定的スクリーニング計画(DSD)、及びモンテカルロシミュレーションの3通りを提供する。図14Aは、仮想製作環境に提供された、実験計画のタイプの選択1402を行うための代表的なUI 1400を示している。
【0098】
完全実施要因計画は、最も典型的な実験計画である。考えられる全ての組み合わせが作成される。完全実施要因計画は、パラメータの数がおおよそ2から7など少ないときに使用されるのが最適である。選ばれた各パラメータ設定について、ユーザは、水準の数及びこれらの水準のための値をUIを通じて入力する。一実施形態では、パラメータ設定ごとに最多で10の水準が入力できる。
【0099】
決定的スクリーニング計画(DSD)は、パラメータの数が大きい又は進行コスト(時間)が高い(長い)ときに使用される。該計画は、パラメータ数が同数であれば、完全実施要因計画よりも遥かに進行回数が少ない。実施形態は、DSDによって増補されたこの方法を、変数が連続である場合にのみ採用する。一実施形態では、DSD用に、パラメータごとに3水準のみが指定される。
【0100】
モンテカルロシミュレーションは、正規分布又は均一分布を使用したランダムなパラメータ設定生成を可能にする一DOEオプションである。一実施形態では、UIは、正規分布パラメータの場合は平均及び標準偏差を、又は均一分布パラメータの場合は最小値及び最大値をユーザが入力することを可能にし、それに応じてランダム値が生成される。一実施形態では、ユーザは、所望される進行回数も入力してよい。
【0101】
図14Bは、当該計画における各パラメータの変動水準をユーザが指定することができる一実施形態における代表的なUI 1410を示している。図14Bは、完全実施要因計画におけるパラメータ選択のための画面を示している。左側のペインは、デックの中のパラメータを挙げたリスト1412を含む。各パラメータは、選択されて右側のペインに追加できる。右側では、ユーザは、所望の水準数1414と、各水準のための値1416とを入力する。例えば、もし3つのパラメータが選択されており、各パラメータがそれぞれ3水準、2水準、及び4水準を有するならば、3×2×4=24の進行が起きえる。
【0102】
一実施形態では、先行段階で作成されたDOEが、仮想半導体製作環境によってバッチモードで進行され、該DOEにおける進行ごとに3Dモデルが生成される。DOEは、csv又はその他のタイプのファイルにエクスポートされてもよい。
【0103】
キーパラメータ識別ワークフローの第4段階では、DOEによって生成された3Dモデルに対する測定結果を得るために、ユーザによって計測ターゲットが選択されてよい。計測ターゲットの選択1422を行うための代表的なUI 1420が、図14Cに示されている。
【0104】
キーパラメータ識別を実施するために、ワークフローの第5段階で回帰モデルが構築される。代表的な一実施形態において、図14Dでは、UI 1430は、主要な効果(最初のパラメータ)のみで回帰モデルを構築するか又は完全二次モデルを構築するかをユーザが選択すること1432を可能にする。別の一実施形態では、回帰モデルのタイプは自動的に選択される。一実施形態では、いずれかのタイプの回帰モデルがデフォルトオプションとして提供されて、ユーザによって変更されてよい。別の一実施形態では、より知識の豊富なユーザ用に、追加のオプションが提供されてよい。これらの追加のオプション1434として、共線性テストのための切り捨て、及び段階的線形回帰のための2つの入口/出口p値切り捨てが挙げられる。共線性テストは、多重共線性変数の適切な取り扱いと、仮想半導体製作環境内において実施されている統計解析からの外れ値の適切な識別及び排除とを可能にする。多重共線性は、多重回帰モデルにおける2つ以上の予測因子/独立変数が一方が他方から高い精度で予測できるほど高度に相関しているときに起きる。二次モデルのフィッティングは、多重共線性変数を生じることが多く、実施形態は、この課題に、以下で更に説明されるように対処する。
【0105】
実験計画から作成された3Dモデル群のうちの1つ以上の3Dモデルが、何らかの観点からみて普通ではなく(適切な)統計解析に対して悪影響又は妨害になりえるデータ値を含むターゲット(計量やCDなど)を有するかもしれない。解析モジュールは、ユーザのために外れ値の識別を行う。代表的な一実施形態において、図14Eでは、UI 1440は、識別された1442のなかから、統計解析を実施するときにどれがターゲットデータから省かれるべきかを決定するための選択をユーザが行うことを可能にする。この段階でターゲットについてテストされる外れ値には、4つのタイプがある。
空セル-もし、進行が失敗に終わると(構築されえる3Dモデルがないと)、そのターゲット用に空データセルが返される。このタイプの進行は、統計解析中に排除されるべき外れ値として自動的に表示され、ユーザによって戻すことはできない。
NOVAL-もし、進行が完了したがターゲット測定結果が算出できないならば、「NOVAL」という文字値が返される。このタイプの進行は、統計解析中に排除されるべき外れ値として自動的に表示され、ユーザによって戻すことはできない。
一定値-或るターゲットについて複数の値が同一であることがある。もし、或るターゲットについて多くの結果が同一であるならば、これは、統計的なモデル化を妨害する又は歪める。ターゲットデータは、例えばデータの50%又はそれ以上などの特定の量のデータが同一/一定であるかどうかをチェックするために、中央値との比較によってテストされる。これらの進行は、除外される。もし、全てのターゲットデータが同一であるならば、エラーが報告される。
統計的外れ値-これらは、データの中心から十分に離れており、解析から排除する必要があるだろうデータ点である。各データ点が外れ値であるかどうかを統計学的にテストするために、中央絶対偏差(MAD)方法が使用されてよい。MAD=中央値(|x-中央値(x)|)であるとすると、標準偏差と等価であるロバストが、SM=1.4826×MADとして算出されえる。(デフォルトでKがK=3であり、3標準偏差に相当する場合に、)MAD±K×SMを超えるデータ値が外れ値と見なされて、ユーザが閲覧できるように外れ値として表示されてよい。一実施形態では、ユーザは、これらの外れ値のうちの任意を解析に戻してよい。なお、測定されたデータには、本書で論じられたタイプの計画、即ち、DSD、完全実施要因計画、又はモンテカルロシミュレーションの使用時には問題にならない外れ値もあることがわかる。なぜならば、定義からすると、これらのデータ点は、ユーザが水準/範囲の設定時に入力ミス又はその他のエラーを犯したのではない限り、範囲内であるからである。
【0106】
外れ値の排除に続いて、ターゲットについてのデータに対して幾つかのタイプの統計解析が実施されてよい。例えば、一実施形態では、解析モジュールは、回帰モデル(選択に応じて二次/交差項)のための入力パラメータを作成してよい。これは、x個のパラメータとターゲットyとの間に基本の曲線関係を当てはめることを可能にする。一式の変数Xが、線形回帰モデルに当てはまり、方程式は、Xがn行(進行)×k列(変数)の行列である場合にX×b=yとして線形代数表記で表現できる。一実施形態では、解析モジュールは、また、考えられるあらゆるペアの入力変数について多重共線性チェックを実施し、相関係数rを計算し、ペアごとに|r|>0.9のパラメータを1つ排除できる(この切り捨ては、ユーザによって調整できる)。これは、ほとんどの事例で多重共線性の問題を修正する。
【0107】
一実施形態では、解析モジュールは、Xが劣決定である(k>n)かどうかをチェックするために、未定行列チェックも実施できる。もし、データ点(進行)よりも多くの変数があるならば、標準方程式を使用して一意な回帰解を見つけるのに十分なデータがない(アルゴリズムは、答えを返すのに失敗する)。1)変数を削除する(完全二次モデルの代わりに主要な作用のみを使用する)、又は2)主成分解析などの方法を使用する、2つの解決策がある。一実施形態では、変数を削除するために、解析モジュールによって第1のタイプの解決策が適用される。もし、k>pであるならば、二次及び交差項が排除され、再びチェックされる。もし、Xが依然として列決定であるならば、回帰は実施できず、エラーがユーザに返される。
【0108】
解析モジュールは、更に、データに対して幾度かのチェックを実施してよい。外れ値削除後、ユーザによって選ばれた計画及びそのサイズ次第では、回帰の妨げになるのに十分な進行が残っていないかもしれない。一実施形態では、チェックは、進行回数nが<10であるかどうかを決定するためであり、n<10である場合は、十分なデータが無く、エラーがユーザに返される。
【0109】
一実施形態では、解析モジュールは、段階的な線形回帰を実施してよい。順方向のアプローチが使用されてよく、このアプローチでは、初期モデルは、切片(重みβ0)のみを含み、全ての変数が、もしモデルに入るべきものがあるならばそれがどれかを見るために、統計的有意性をテストされる。例えば変数x3などの変数が選ばれたら、残りの全ての変数が、新しいモデルに組み入れられるかどうかをテストされる。このプロセスは、組み入れ基準(p値<0.05、ユーザ調整可能)を満たす変数が無くなるまで続く。モデル内の変数は、排除される(p値>0.10、ユーザ調整可能)かどうかもテストされる。
【0110】
一実施形態では、解析モジュールは、キーパラメータを識別するために、相対的重要度の計算も実施してよい。もし、2つ以上の統計的に有意なパラメータによってモデルが生成されるならば、これらの、ただし自動のスケール調整を経た後のこれらの変数のみを使用して、新しい線形回帰が算出される。変数を自動でスケール調整するためには、全てのデータ点から変数の平均が減算され、次いで、結果として得られた値が変数の当初の標準偏差によって除算される。これは、全ての変数が平均0と標準偏差1とを有するようにする。これを行う理由は、スケールのばらつきである。或る変数が、0から1の範囲であるかもしれない一方で、別の変数は、50から80の範囲であるかもしれない。回帰における重要度(重みの大きさ、β値)は、スケールのばらつきによって影響される。もし、β値を調べることによって、どの変数がより重要であるかを知りたいならば、回帰モデルにおける変数が、それらが同じ分散を有するように変換される必要があり、これは、自動のスケール調整によって達成される。
【0111】
結果は、図14Fに示されるように、注記付きプロット1452及び表1454などを含むがこれらに限定はされない数々の異なる形式で、ユーザインターフェース1450を通じてユーザに提示されてよい。プロットは、予測されるターゲット対実際のターゲットのプロットである。一実施形態では、プロットは、r2(回帰二乗相関係数であり、0から1の範囲にわたり、モデルによって説明されるターゲット分散の割合を示す)、二乗平均平方根誤差(RMSE、予測精度の尺度)、及びn(回帰モデルに使用されるデータ点/進行の実際の数)の注記を付けられていてよい。一実施形態では、回帰結果の出力表1454は、より大きい形態で図14Gに示されるように、5つの列を有してよい。列1は、パラメータ名であり、これらは、最初の変数の名称、並びにもしあれば二次項及び交差項の名称である。列2は、有意な変数についてのp値であり、列3は、回帰重み(β)であり、列4は、相対的重みである。回帰についての回帰重み(β)は、自動スケールを経た変数によって算出される。これらは、有意なパラメータをランク付けするために使用できる。例えば、パラメータEtch4である側方比は、相対的重要度が算出されると、Etch1であるエッチング速度よりも重要であると決定されるだろう。列5は、状態である。一実施形態では、考えられる4つの結果、即ち、有意でない、有意である、高度に共線性であり除外された、及び劣決定であり除外された、がある。一実施形態では、有意なパラメータは、非ゼロの重みと、選ばれた計測にとって所定のプロセスパラメータがどれくらい重要であるかを示すスケール調整後の重要度とを有する。
【0112】
キーパラメータ識別へのこのアプローチは、図15に更にまとめられている。図15は、代表的な一実施形態における、キーパラメータを識別するために実施される段階シーケンスを示している。シーケンスは、仮想製作環境による、デック(レイアウトデータ及びプロセス段階)のユーザ識別の受信から始まる(段階1500)。次いで、複数の仮想製作進行が、着目されている半導体デバイス用のDOEのために実施される(段階1502)。一実施形態では、DOEのタイプのユーザ選択、及び更なるDOE関連入力の選択は、仮想製作環境に提供されたユーザインターフェースを通じて受信される。或いは、別の一実施形態では、DOEのタイプ及びDOEパラメータは、仮想製作環境によって自動的に選択される。ターゲット(例えば、計測測定、構造検索、DTCチェック、及び/又は電気的解析)のユーザ選択が、受信され(段階1504)、解析モジュールは、上述されたように、仮想製作進行によって生成されたターゲットデータ中の外れ値を識別する(段階1506)。識別された外れ値は、表示されてユーザに見せられ、次いで、外れ値のうちの1つ以上をターゲットデータに戻す又は外れ値をターゲットデータから排除するユーザ選択が、提供されたユーザインターフェースを通じて受信される(段階1508)。外れ値の決定を受けた後の、調整されたターゲットデータは、次いで、DOEのための1つ以上のキーパラメータを識別する回帰解析を実施するために、解析モジュールによって使用される(段階1510)。識別されたキーパラメータの表示(例えば、リスト、プロット、チャート)は、次いで、表示されてユーザに見せられる、又は識別されたキーパラメータは、更なる処理のために第三者アプリケーションにエクスポートされてよい(段階1512)。
[プロセスモデル較正]
【0113】
解析モジュールは、プロセスモデル較正も実施してよい。プロセスモデル較正では、仮想製作進行から作成された仮想3Dモデルを物理製作環境において作成された物理的半導体と一致させるために、仮想製作環境における段階パラメータ及び設定の調整が成される。較正が成されたら、3Dモデルに変更を導入するために、及びどのプロセス変更が各種の半導体特性を向上させるかについての洞察を提供するために、仮想半導体製作環境におけるパラメータ及びそれらの設定が変更されてよい。一実施形態では、仮想3Dモデルを最適化して物理的半導体に一致させるプロセスにユーザを導いて最後まで誘導するために、ウィザードユーザインターフェースが提供される。ユーザは、(1つ又は複数の)測定ターゲット及びそれらの(1つ又は複数の)所望値を選択し、複数のターゲットがある場合にターゲットの重要度に重み付けし、パラメータの境界を設定し、1つ以上の試行を進行し、最適化されたパラメータ値及び対応する測定ターゲット結果を受信する。
【0114】
較正を図ってプロセスパラメータを調整する従来の仮想製作環境は、適切なプロセスモデル較正を可能にするシステムレベルの要素を欠いている。更に、多くの半導体プロセス統合エンジニアには、統計学の知識がほとんど又は全く無い。その結果、これらのエンジニアらは、原始的な試行錯誤方式で、通常は一度に一因子ずつの(OFAT)アプローチで、パラメータを調整することによって、プロセスモデル較正を実施する。このアプローチは、時間がかかり、たとえ何らかの解決策を見つけたとしても低品質である。OFATアプローチは、パラメータ間の相互作用の影響を考慮に入れないゆえに、最適なパラメータセットが見つからないこと請け合いである。
【0115】
これらの課題に対処するために、実施形態は、仮想製作環境に組み込まれた解析モジュールを使用して、自動的な統計解析、最適化、及びユーザ(例えば、統計学の知識が限られる又は全く無いだろう半導体プロセスインテグレータ)のための視覚化を提供する。より具体的には、実施形態は、統計学に未熟なエンジニアを混乱させることなく較正の問題を解決するために、プログラム式のアプローチを提供する。解析モジュール内の統計解析エンジンは、各具体的使用事例を少ないユーザ入力で解析するために、一式の解析アルゴリズムを用いる。一実施形態では、ユーザインターフェース(UI)は、適切な解析段階を踏むようにユーザを強く誘導することを目的としたウィザードである。ウィザードは、使用事例によって編成されて、使用事例ごとに左側からの段階的な流れのUIをたどってよい。
【0116】
代表的な一実施形態で実施されるプロセスモデル較正のためのワークフローの一例が、図16に示されている。該シーケンスは、着目されている半導体デバイスの仮想3Dモデルを作成するもとになるデック(レイアウトデータ及びプロセス段階)の識別を仮想製作環境が受信することから始まる。ほとんどの場合、デックは、仮想製作環境に提供されたUIを通じて提供されるユーザ選択/指定にしたがって読み出される。UIは、対応する物理的半導体上における測定ターゲットとの一致をユーザが所望している3Dモデル上の1つ以上の測定ターゲットについてのユーザ識別を受信する(段階1602)。ターゲットとしては、仮想半導体構造上で評価される、計測値、構造検索、DTCチェック、電気的解析などに関連した値が挙げられ、ただし、これらに限定はされない。別の一実施形態では、デックは、ユーザ入力を伴うことなくプログラム式に選択されてよい。
【0117】
重要であり、3Dモデルターゲット値を実験データに一致させるために調整されるべきパラメータ(キーパラメータ)が、次いで、決定される(段階2904)。一実施形態では、この決定は、上記のように、解析モジュールによって実施されるキーパラメータ識別プロセスを通じて成される。或いは、別の一実施形態では、キーパラメータは、UIを通じてユーザによって手動で選択されてよい。
【0118】
シーケンスは、UIを通じて各ターゲットについての所望の値(DV)のユーザ指定を受信することに続く(段階1606)。DVは、TEMから得られる距離、又は3Dモデルを切り取ったものと全体のTEMとの間の一致の質、又は光スペクトルであってよく、ただし、これらに限定はされない。相対的な重みが、デフォルトによって又はユーザによって示されるように適用され、例えば、2つのターゲットA及びBの場合は、ターゲットAは、もしユーザが望むならばターゲットBの2倍重要であるとして重み付けされてよい。
【0119】
シーケンスは、ユーザが下限及び上限を設定することによって、較正において調整される各パラメータのユーザ指定を受信することに続く(段階1608)。解析モジュールに提供された最適化アルゴリズムは、それが解に向かって反復適用される間、パラメータをこれらの境界内に維持する。
【0120】
解析モジュールは、次に、最適化アルゴリズムを実行する(段階1610)。最適化アルゴリズムは、間接的な又は直接的な最適化を実施してよく、いずれも、以下で更に説明される。一実施形態では、ユーザは、反復回数、収束許容差、スコア付け関数のタイプ(L-2又はL-1)、試行回数などを選択又は指定するオプションを有してよい。一部の実施形態では、複数の試行について、事前に指定された上限及び下限の範囲内のランダムなパラメータ開始値が作成されてよい。
【0121】
最適化アルゴリズムの結果は、表示されてユーザに見せられる(段階1612)。一実施形態では、ユーザは、仮想製作環境における3Dモデルの構築をトリガするために、表示された結果のなかからUIを通じて一試行を選択できる(段階1614)。
【0122】
解析モジュールによって、2つの異なるタイプの最適化アルゴリズムが使用されてよい。間接的な最適化は、キーパラメータ識別プロセス中に作成された回帰方程式に、最適化アルゴリズムを適用する。間接的な最適化は、追加の3Dモデルを構築するために仮想製作環境を呼び出すことはなく、応答面(応答面は、3Dモデルターゲットと所望値との間におけるパラメータ及びエラーの関係を示す)を形成する一連の面を回帰方程式が提供するゆえに総じて局部的な最小値を回避するので、非常に高速であるという利点を有する。パラメータ空間内でランダム開始点から始まった試行は、類似の結果に収束する傾向があるので、ユーザは、それらの最適化タスクを実施するために、少ない回数の試行のみを使用するだけでよい。また、間接的な最適化は、例えば、応答面が高度に非線形であるなど(1つ又は複数の)応答方程式が(1つ又は複数の)ターゲットをあまり良く予測できない場合に結果が低品質になるという欠点を有することも、留意されるべきである。
【0123】
直接的な最適化は、間接的な最適化と比べて大幅に遅く、上記のキーパラメータ識別プロセスをたどらない一実施形態で使用されてよい。この方法では、最適化アルゴリズムは、各反復で仮想製作環境を呼び出し、これが、新しい3Dモデル及び関連の計測値を生成して最適化アルゴリズムをアップデートし、次いで、その最適化アルゴリズムが、パラメータ値を調整する。これは、順次式の最適化プロセスである。直接的な最適化は、最も現実的な方法であって、より良く非線形応答面に対して機能するという利点、及び上述されたキーパラメータ識別プロセスが先ず実行されることを必ずしも必要としない(回帰方程式が必要とされず、ユーザは、最適化するパラメータのみを拾い上げればよいだろう)という利点を有する。直接的な最適化は、各試行の反復ごとに3Dモデルを構築するために仮想製作環境を呼び出し、局部的な最小値に捕らわれだろうゆえに、低速であるという欠点を有する。これらの欠点は、より広範なパラメータ空間サンプリングを提供してアルゴリズムが局部的な最小値に捕らわれる事態を回避するために、複数のライセンス(速度)及び更なる試行を使用することによって、軽減できる。
【0124】
直接的な及び間接的な最適化を実施するために、多様な最適化アルゴリズムが使用できる。非限定的な例として、一実施形態では、間接的な最適化のために、パラメータ境界を伴う内点アルゴリズムが利用されてよく、ただし、その他のアルゴリズムが使用されてもよい。直接的な最適化には、非限定的な例として、不連続及び二値ターゲット(存在する/存在しない)を伴う複雑な応答面を扱えるゆえに、遺伝的アルゴリズムが使用されてよい。
【0125】
間接的な最適化によってプロセスモデル較正を実施する非限定的な一例として、一実施形態では、ユーザは、先ず、本書で説明されるように解析モジュールを通じてキーパラメータ識別プロセスを完了させる。より具体的には、ユーザは、一式のパラメータ及びターゲット(仮想半導体構造上で評価される、計測、構造検索、DTCチェック、電気的解析)に対して実験計画及び回帰を行う。これは、ターゲットごとに、統計的に有意なパラメータを識別し、これらの統計的に有意なパラメータを使用して各ターゲットを予測する回帰方程式を作成する。上記のように、ユーザは、1つ又は複数のターゲットを選択し、ターゲットごとに所望の値(DV)を入力し、それらの重要度に重みを付ける。各ターゲット用に、デフォルトの重み1が提供されてよい。較正オプションのために、ユーザは、二乗誤差が使用される(デフォルト)かどうかを取り上げてよく、最適化の試行の回数、反復の数、及び収束許容差などが挙げられるがこれらに限定はされない詳細なオプションを設定できる。オプションごとに、デフォルト値が提供されてよい。例えば、最適化の試行の回数は、デフォルト値10に設定されてよく、試行ごとの反復の回数は、デフォルト値100に設定されてよく、収束許容差は、デフォルト値1e-6に設定されてよい。詳細なオプションの設定に続いて、ユーザは、提供されたUIを通じて、最適化されている各パラメータに許される下限及び上限を設定してよい。パラメータ値は、解析モジュールによる最適化の間、これらの境界内に維持される。ユーザは、UIを通じて較正の進行を開始し、最適化が始まる。一実施形態では、下層にある計算エンジンが、内点アルゴリズムを使用してよい。(1回又は複数回の)最適化の試行が完了したら、完了/エラーメッセージとともに、最適化されたパラメータ及びターゲットの値が試行ごとに表示され、ユーザは、結果として得られる3Dモデルを査定するために仮想製作環境内で構築するための1つの試行を選択できる。
【0126】
上記のように、一実施形態では、プロセスモデル較正シーケンスは、UIウィザードを通じて誘導されてよい。図17は、上述されたプロセスモデル較正シーケンスのための計測ターゲットの選択を示しており、ここでは、ユーザは、キーパラメータ識別プロセス中に回帰データが先立って生成されたターゲットのなかからターゲットを選択するように誘導される。以下で更に説明されるように、回帰データは、間接的な最適化を実施するときに引き続き使用される。一実施形態では、UI 1700は、選択可能なターゲットのリスト1702を提示しており、ただし、回帰モデルを既に有する計測ターゲットから選択するようにユーザを制限する。一実施形態では、その他のパラメータ及びその他の計測データは提供されない。図17は、選択されたターゲットについてのDVをユーザが選択することを可能にするUI内の表1704も示している。右側のペイン内の表では、ユーザは、DV(これらの欄は、最初は空欄であってよい)及び重みを入力し、これらの値は、デフォルトで1であってよく、ユーザによって変更できる。
【0127】
図18は、プロセスモデル較正ウィザードによって提供されえる較正オプション1802の選択を可能にする代表的なユーザインターフェース1800を示している。図に示されるように、一実施形態では、最適化の手法(間接的対直接的)が選択されてよく1804、最適化の試行の回数、試行ごとの反復の回数、及びユーザが所望する許容差などのオプションをユーザが指定することを可能にするために仮想製作環境によって詳細なオプションのチェックボックス1806が提供されてよい。最初にデフォルト値が提供されてよく、これらの値は、一実施形態ではユーザによって変更されてよい。
【0128】
プロセスモデル較正ウィザードは、図19に示されるような、ユーザがパラメータ境界を選択することを可能にするユーザインターフェース1900も提供しえる。ユーザによって選択された、回帰における統計的に有意な全パラメータを挙げたリストが、解析モジュールによって作成されて表形式1902で表示されてよい。パラメータごとに、関連のターゲット1904が挙げられる。例えば、図19に示された表では、パラメータ2.1.15:厚さは、3つの回帰ターゲットFinCD_Top、FinCD_Bot、GapCD_Topにとって有意である。ユーザは、各パラメータ用に、所望の下限及び上限を入力する。
【0129】
プロセスモデル較正ウィザードは、次いで、較正を開始させるための進行ボタンを提供してよく、結果は、図20に示されるようなユーザインターフェース2000を通じて表示されてユーザに見せられてよい。例えば、結果2002は、内部又は外部のシミュレーション環境から表形式で表示されてよく、試行数、最適化結果、予測されるターゲット結果、及びパラメータ2004のための値を表示してよい。一実施形態では、表示されたビューは、ユーザが表の中の列を選択し、特定の成功した試行からのパラメータを使用して仮想製作環境の3Dビューにモデルをエクスポートすること又は仮想製作環境の3Dビュー内に自動的にモデルを構築することを可能にしえる。
[変動性解析]
【0130】
変動性解析は、仮想3Dモデル群から得られた計測データの変動性をユーザが解析及び理解するのに役立つ。一実施形態では、仮想製作環境における解析モジュールは、変動性解析を実施し、ターゲット分布に関する算出情報の表、並びにターゲットデータヒストグラムのプロット及び正規分位点のプロットを表示するとともに、第2のプロットウィンドウへの切り替え、最多で4つのターゲットの選択、及びそれらの経験累積分布関数のプロット/比較の能力を提供するユーザインターフェースを生成することができる。更に、本書で説明されるような変動性解析は、標準偏差(シグマ)の精度の推定値及びサンプルサイズとのその相互関係、ターゲットデータが正規に分布している場合の査定の方法、並びに目視比較のための一貫した方法を提供する。
【0131】
変動性解析は、仮想製作環境において形成された複数の仮想半導体構造から得られたターゲット(計測、構造検索、DTCチェック、電気的解析など)についてユーザが値の分布を査定するタスクである。その目的は、そのターゲットについて公称値、範囲、仕様限界などを決定することである。半導体デバイス構造のための従来の仮想製作環境は、適切な変動性解析を可能にするシステムレベル要素を欠いている。多くの半導体プロセス統合エンジニアらは、統計学の知識をほとんど又は全く有しておらず、その結果、これらのエンジニアらは、不完全な及び/又は正しくないやり方で変動性解析を実施する。ターゲットデータは、正規に分布していると仮定されてよく、もしそうではなく、ターゲットデータが正規に分布していないならば、平均及びシグマ値が紛らわしいものになる。たとえもし、ターゲットデータが正規に分布していても、有用な精度のシグマを得るために必要とされる適切なサンプルサイズは、モンテカルロシミュレーション/実験計画では対処できないのが一般的である。ユーザは、サンプルサイズを過大評価又は過小評価することが多く、これは、時間を無駄にする及び/又は答えの質の低下を招く。更に、分布の視覚化及び比較は、異なるやり方で異なるソフトウェアパッケージで成されるか、又は全く成されないかであり、これは、ユーザどうしの間で混乱を招く。
【0132】
この課題に対処するために、一実施形態では、解析モジュールは、仮想製作環境におけるユーザ(例えば、統計学の知識が限られる又は無い半導体プロセスインテグレータ)のために自動統計解析、最適化、及び視覚化を提供するために、変動性解析を実施するように設計される。
【0133】
図21は、代表的な一実施形態における、変動性解析を実施するための段階シーケンスを示している。シーケンスは、着目されている半導体デバイス構造の仮想3Dモデルを作成するために仮想製作環境によって使用されるデック(レイアウトデータ及びプロセス段階)のユーザ識別の受信から始まる(段階2100)。ユーザは、モンテカルロDOEを作成し、3Dモデルのためのターゲットを識別する(段階2102)。次いで、モンテカルロDOEのために、複数の仮想製作進行が実施される(段階2104)。以下で更に論じられるように、一実施形態では、おおよそ200の進行からなる縮小セットが実施される。解析モジュールは、上述されたやり方で、仮想製作進行によって生成されたターゲットデータ内の外れ値を識別する(段階2106)。識別された外れ値は、表示されてユーザに見せられ、次いで、各ターゲットについて、外れ値のうちの1つ以上をターゲットデータに戻す又は外れ値をターゲットデータから排除するユーザ選択が、提供されたユーザインターフェースを通じて受信される(段階2108)。ユーザは、ユーザインターフェースを通じて変動性解析オプションを選択し、該解析のための1つ以上のターゲットを選択する(段階2110)。変動性解析結果は、次いで、分布データの表、ターゲットデータヒストグラムのプロット、及び正規分位点のプロットなどを含むがこれらに限定はされない様々な形式で表示されてユーザに見せられる、又は結果は、更なる処理のために第三者アプリケーションにエクスポートされてよい(段階2112)。必要に応じて、ユーザは、第2のプロットウィンドウ、即ち経験累積分布関数(ECDF)ウィンドウに切り替えて、最多で4つのターゲットを選択することができ、解析モジュールは、それらの経験分布関数をプロット/比較する。
【0134】
図22は、代表的な一実施形態における、変数解析結果ウィンドウ2200を表示している代表的なユーザインターフェースを示している。選択されたターゲットについて、変動性解析メインウィンドウは、表2202と2つのプロット、即ちヒストグラムのプロット2204及び正規分位点のプロット2206を示している。表2202は、例えば、選択されたターゲットについての複数の算出情報を含み、例えば、以下のとおりである。
【0135】
nは、計算に使用されるデータ点の数である(ユーザは、外れ値を追加/排除してよく、したがって、ここでは、使用された実際のデータ点の数が示される)。
【0136】
平均、及び平均の95%CI(信頼区間)。
【0137】
標準偏差、及び標準偏差の95%信頼区間。95%CIは、標準偏差(シグマ)の精度の推定値であるゆえに、ユーザが知っていることが非常に重要である。もし、n=200であるならば、95%CIは、おおよそ±10%であり、これは、仕様限界を推定するのに非常に有用であることがわかっている。200というサンプルサイズは、モンテカルロシミュレーション用に一般的に推奨されるよりも大幅に小さい(普通は10,000が推奨される)が、使用事例によっては許容可能である±10%の精度を提供する。ユーザは、必要に応じてシグマ及び平均の精度(CI)を向上させるために、サンプルサイズ(n)を調整することができる。別の一実施形態では、モンテカルロシミュレーションのためのサンプルサイズは、500未満である。
【0138】
正規性検定:選択されたターゲットにリリーフォース正規性検定が適用された結果であり、p値、及び統計的に有意であるかどうか(はい/いいえ)として報告される。これは、ターゲットデータが正規に分布しているかどうかを査定するために解析モジュールによって使用される複数の方法のうちの、第1の方法である。
【0139】
パーセンテージ:選択されたターゲットについての最小、0.5%、2.5%、5%、25%、50%(中央)、75%、95%、97.5%、99.5%、最大。
【0140】
変動性解析メインウィンドウは、ヒストグラムプロットも表示してよく、これは、選択されたターゲットについてのデータのヒストグラムであり、正規性の目視比較のために正規pdfが重ねられている。もし、ヒストグラムの棒が正規pdfにしたがうならば、ターゲットデータは、正規に分布していると言える。これは、ターゲットデータの正規性をテストするために解析モジュールによって提供される第2の方法である。
【0141】
変動性解析メインウィンドウは、更に、選択されたターゲットデータの正規分位点プロットを表示してよい。もし、点が線の近くに又は線上にくるならば、ターゲットデータは、正規に分布していると言える。これは、ターゲットデータの正規性をテストするために解析モジュールによって提供される第3の方法である。本書で明示的に論じられていないターゲットデータの正規性をテストするための更なる方法もまた、解析モジュールによって実施されてよいこと、及び本発明の範囲内であると見なされるべきであることがわかる。
【0142】
解析モジュールは、変動性解析結果を表示するための第2のウィンドウの表示も生成してよい。図23は、代表的な一実施形態における、2つの別々のターゲットの経験累積分布関数2302、2304の比較を表示した代表的なユーザインターフェース2300を示している。例えば、ユーザは、ECDFウィンドウのためのタブ2306をクリックし、経験累積分布関数をプロットして比較するための最多で4つのターゲットを選択してよい。x軸は、0から1の範囲に入るようにスケール調整されたターゲットデータであり、y軸は、0から1までの累積確率である。これは、ユーザがターゲット分布を等価方式で比較して、仕様限界設定において重要なテール効果を調べることを可能にする。
【0143】
解析モジュールによって可能にされた、正規性を査定するための複数の方法によって、ユーザは、ターゲットデータをそれらが正規に分布しているものとして取り扱うべきかどうかを決定することが可能になる。もし、ターゲットデータが正規に分布しているならば、ユーザは、平均及び標準偏差を使用して、仕様限界を設定するために一般的に使用される3つ又は4つのシグマ点を推定することができる。もし、データが正規に分布していないならば、ユーザは、表に表示されたパーセンテージ及び最小/最大から、並びにECDFプロットのテールから、有用な仕様限界点を推定してよい。別の一実施形態では、ターゲットデータは、ガウス混合モデルに自動的に当てはめられてそうして仕様限界設定のための有用な点を推定するために使用されてよい。一実施形態では、このアプローチの変形版は、ユーザが例えばF分布又はt分布などの多岐にわたるその他の既知の分布にデータを当てはめてそれによって仕様限界設定のための有用な点を推定することを可能にする特徴である。
【0144】
本発明の実施形態の一部又は全部は、1つ以上の非一時的な媒体に盛り込まれた1つ以上のコンピュータ読み取り可能プログラム又はコンピュータ読み取り可能コードとして提供されてよい。これらの媒体として、ハードディスク、コンパクトディスク、デジタル多用途ディスク、フラッシュメモリ、PROM、RAM、ROM、又は磁気テープが挙げられるが、これらに限定はされない。総じて、コンピュータ読み取り可能なプログラム又はコードは、任意のコンピュータ言語で実装されてよい。
【0145】
本発明の範囲から逸脱することなく特定の変更が成されてよいので、上記の説明に含められた又は添付の図面に示された事柄は、全て、例示的なものであって文字通りの意味ではないと解釈されることを意図している。当業者ならば、図中に示された段階シーケンス及びアーキテクチャが、本発明の範囲から逸脱することなく変更されてよいこと、及び本書に含まれる例示が、考えられる本発明の複数の叙述のうちの1つの例であることがわかる。
【0146】
本発明の実施形態の例を述べた以上の説明は、例示及び説明を提供しているが、排他的であること又は開示された厳密な形態に発明を制限することを意図していない。変更及び変形が、上記の教示内容に照らして可能である、又は発明の実施から得られるだろう。例えば、一連の行為が説明されてきたが、これらの行為の順番は、発明の原理と一致するその他の実装形態では変更されてよい。更には、非従属行為が並行して実施されてよい。
図1
図2
図3
図4
図5
図6
図7
図8
図9
図10
図11
図12
図13
図14A
図14B
図14C
図14D
図14E
図14F
図14G
図15
図16
図17
図18
図19
図20
図21
図22
図23
【手続補正書】
【提出日】2023-05-15
【手続補正1】
【補正対象書類名】特許請求の範囲
【補正対象項目名】全文
【補正方法】変更
【補正の内容】
【特許請求の範囲】
【請求項1】
変動性解析を実施するための計算機器実行可能命令を保持している非一時的なコンピュータ読み取り可能の媒体であって、
前記命令は、実行されるときに、少なくとも1つのプロセッサを装備した少なくとも1つの計算機器に、
2D設計データとプロセスシーケンスとを使用し実験の計画(DOE)に基づいて、半導体デバイスのために仮想製作環境において複数の仮想製作進行を実施させ、前記複数の仮想製作進行は、複数の3Dモデルを構築し、
変動性解析が実施される前記複数の3Dモデルにおける1つ以上のターゲットのユーザ選択を受信させ、
前記変動性解析からの結果を表示又はエクスポートさせる、媒体。
【請求項2】
請求項1に記載の媒体であって、
前記DOEは、モンテカルロシミュレーションである、媒体。
【請求項3】
請求項1に記載の媒体であって、
前記複数の仮想製作進行の回数は、おおよそ200である、媒体。
【請求項4】
請求項1に記載の媒体であって、
前記変動性解析からの前記結果は、シグマの精度の査定を可能にする、媒体。
【請求項5】
請求項1に記載の媒体であって、
前記変動性解析からの結果は、ターゲットデータの正規性の査定を可能にする、媒体。
【請求項6】
請求項1に記載の媒体であって、
前記変動性解析からの前記結果は、複数のターゲットについて同時に表示される、媒体。
【請求項7】
請求項1に記載の媒体であって、
前記選択されたターゲットは、計測測定ターゲット、構造検索ターゲット、DTCチェックターゲット、及び電気的解析ターゲットのうちの1つ以上である、媒体。
【請求項8】
計算機器によって実行される方法であって、
前記計算機器は、少なくとも1つのプロセッサを装備し、前記方法は、
2D設計データとプロセスシーケンスとを使用し実験の計画(DOE)に基づいて、半導体デバイスのために仮想作成環境において複数の仮想製作進行を実施し、前記複数の仮想製作進行は、複数の3Dモデルを構築し、
変動性解析が実施される前記複数の3Dモデルにおける1つ以上のターゲットのユーザ選択を受信し、
前記変動性解析からの結果を表示又はエクスポートする、
ことを備える、方法。
【請求項9】
請求項8に記載の方法であって、
前記DOEは、モンテカルロシミュレーションである、方法。
【請求項10】
請求項8に記載の方法であって、
前記複数の仮想製作進行の回数は、おおよそ200である、方法。
【請求項11】
請求項8に記載の方法であって、
前記変動性解析からの前記結果は、シグマの精度の査定を可能にする、方法。
【請求項12】
請求項8に記載の方法であって、
前記変動性解析からの結果は、ターゲットデータの正規性の査定を可能にする、方法。
【請求項13】
請求項8に記載の方法であって、
前記変動性解析からの前記結果は、複数のターゲットについて同時に表示される、方法。
【請求項14】
請求項8に記載の方法であって、
前記選択されたターゲットは、計測測定ターゲット、構造検索ターゲット、DTCチェックターゲット、及び電気的解析ターゲットのうちの1つ以上である、方法。
【請求項15】
仮想製作システムであって、
プロセッサを装備し、仮想製作環境を形成するように構成された計算機器であって、前記仮想製作環境は、
2D設計データとプロセスシーケンスとを使用し実験の計画(DOE)に基づいて、半導体デバイスのために複数の仮想製作進行を実施し、前記複数の仮想製作進行は、複数の3Dモデルを構築し、
変動性解析が実施される前記複数の3Dモデルにおける1つ以上のターゲットのユーザ選択を受信する、計算機器と、
前記変動性解析からの結果を表示するように構成されたディスプレイと、
を備える仮想製作システム。
【請求項16】
請求項15に記載のシステムであって、更に、
前記変動性解析からの結果をエクスポートするように構成されたネットワークインターフェースを備える、システム。
【請求項17】
請求項15に記載のシステムであって、
前記複数の仮想製作進行の回数は、おおよそ200である、システム。
【請求項18】
請求項15に記載のシステムであって、
前記変動性解析からの前記結果は、シグマの精度及び/又はターゲットデータの正規性の査定を可能にする、システム。
【請求項19】
請求項15に記載のシステムであって、
前記変動性解析からの前記結果は、複数のターゲットについて同時に表示される、システム。
【請求項20】
請求項15に記載のシステムであって、
前記選択されたターゲットは、計測測定ターゲット、構造検索ターゲット、DTCチェックターゲット、及び電気的解析ターゲットのうちの1つ以上である、システム。
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】0053
【補正方法】変更
【補正の内容】
【0053】
図8は、仮想製作環境においてプロセスシーケンスを較正するための代表的な段階シーケンスを示している。シーケンスは、仮想製作環境及び対応する物理的ファブ環境の両方において成される段階を含む。仮想製作環境では、ユーザは、(仮想的に製作される構造のための、)較正されるプロセスシーケンスを選択し、関連のプロセスパラメータを識別する(段階802a)。物理ファブでは、ユーザは、製作進行の最中に測定するためのキャラクタライズ構造群又は製品構造群を識別する(段階802b)。戻って仮想製作環境では、ユーザは、プロセスシーケンスをプロセスエディタにエントリし(段階804a)、キャラクタライズ構造を定める2D設計データ(レイアウト)が、利用可能な2D設計データのなかから選択される、又はその目的のためにレイアウトエディタ121で作成される(段階804b)。同じ設計データが、仮想製作及び実際のキャラクタライズに使用される。上述のように、ユーザは、1つ以上の仮想計測段階をプロセスシーケンスに挿入し(段階806a)、測定ロケータ形状を2D設計データに追加する(段階806b)。ユーザは、仮想製作コンソールにおいて仮想ファブ進行をセットアップし(段階808)、3Dモデル化エンジンは、3Dモデルを構築し、仮想計測データを生成及びエクスポートする(段階812a)。仮想製作進行に並行して又は仮想製作進行からずらして、物理製作環境は、キャラクタライズ構造又は製品構造を作成し(段階810)、これらの構造について、ファブ内画像撮影及びファブ内測定が成される(段階812b)。ユーザは、次いで、3Dビューア125における生成された仮想モデルの3Dビューを、物理的デバイス構造のファブ内撮影画像と比較してよい(段階814a)。更に、キャラクタライズ構造の測定値群は、プロセスシーケンスに仮想計測段階が挿入されている結果としてとられた仮想計測測定値と比較されてよい(段階814b)。ほとんどの場合、この比較は、ユーザによって成されてよく、しかしながら、代わりに、事前に定義された又は対話応答式に決まった基準に基づいて自動データ解析ツールによって成されてよい。もし、ビューと画像との間及び仮想測定値果と実際の測定値との間に満足のいく一致が見られるならば(段階815)、プロセスシーケンスは、較正されたと見なされる(段階816)。しかしながら、もし、満足のいく一致が見られないならば(段階815)、ユーザは、プロセスエディタにおいてプロセスパラメータの値を修正し(段階818)、仮想製作コンソールにおいて新しい仮想製作進行がセットアップされる(段階808)。シーケンスは、次いで、満足のいく一致に達して較正が達成されるまで繰り返される。
【手続補正3】
【補正対象書類名】明細書
【補正対象項目名】0117
【補正方法】変更
【補正の内容】
【0117】
重要であり、3Dモデルターゲット値を実験データに一致させるために調整されるべきパラメータ(キーパラメータ)が、次いで、決定される(段階1604)。一実施形態では、この決定は、上記のように、解析モジュールによって実施されるキーパラメータ識別プロセスを通じて成される。或いは、別の一実施形態では、キーパラメータは、UIを通じてユーザによって手動で選択されてよい。
【手続補正4】
【補正対象書類名】明細書
【補正対象項目名】0146
【補正方法】変更
【補正の内容】
【0146】
本発明の実施形態の例を述べた以上の説明は、例示及び説明を提供しているが、排他的であること又は開示された厳密な形態に発明を制限することを意図していない。変更及び変形が、上記の教示内容に照らして可能である、又は発明の実施から得られるだろう。例えば、一連の行為が説明されてきたが、これらの行為の順番は、発明の原理と一致するその他の実装形態では変更されてよい。更には、非従属行為が並行して実施されてよい。本発明は以下の適用例としても実現できる。
[適用例1]
仮想半導体製作環境におけるキーパラメータ識別のためのコンピュータ実行可能命令を保持している非一時的なコンピュータ読み取り可能の媒体であって、
前記命令は、実行されるときに、少なくとも1つの計算機器に、
計算機器によって生み出される仮想製作環境において仮想的に製作される半導体デバイス構造について、2D設計データの選択と、複数のプロセスを含むプロセスシーケンスとを受信させ、
前記計算機器によって、前記2D設計データと前記プロセスシーケンスとを使用し実験の計画(DOE)に基づいて、前記半導体デバイス構造のために複数の仮想製作進行を実施させ、前記複数の仮想製作進行は、複数の3Dモデルを構築し、
前記半導体デバイス構造のための1つ以上のターゲットのユーザ識別を受信させ、
前記仮想製作進行から作成された前記複数の3Dモデルにおける前記1つ以上のターゲットのための測定データのうちの1つ以上の外れ値を識別するために、前記仮想製作環境において解析モジュールを実行させ、
前記複数の3Dモデルにおける前記1つ以上のターゲットのための前記測定データから前記1つ以上の識別された外れ値のうちの1つ以上を追加又は排除するためのユーザ選択を受信させ、前記選択は、前記仮想製作環境に提供されたユーザインターフェースを通じて受信され、
前記測定データからの前記選択された外れ値の前記追加又は排除の後に、前記解析モジュールによって前記1つ以上のターゲットのための前記測定データに対して回帰解析を実施させ、
前記回帰解析の結果に基づいて、前記解析モジュールによって1つ以上のキーパラメータを識別させ、
前記識別された1つ以上のキーパラメータの識別情報を表示又はエクスポートさせる、媒体。
[適用例2]
適用例1に記載の媒体であって、
前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記識別された1つ以上のキーパラメータをプログラムによってランク付けさせる、媒体。
[適用例3]
適用例1に記載の媒体であって、
前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
DOEのタイプ、前記DOEにおいて変動させるパラメータ、水準の数、及び前記DOE用の水準のための値のうちの少なくとも1つのユーザ選択を受信するためのユーザインターフェースを前記仮想製作環境に提供させる、媒体。
[適用例4]
適用例1に記載の媒体であって、
前記選択されたターゲットは、計測測定、構造検索、DTCチェック、及び電気的解析のうちの少なくとも1つである、媒体。
[適用例5]
適用例1に記載の媒体であって、
前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記複数の3Dモデルについてのターゲットデータに対して多重共線性チェックを実施させる、媒体。
[適用例6]
適用例1に記載の媒体であって、
前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記仮想製作環境における前記ユーザインターフェースを通じて、選択されたターゲットについての所望の値のユーザ選択を受信させ、前記選択されたターゲットは、キーパラメータに関係付けられたターゲット群から作成され、
前記仮想製作環境における前記ユーザインターフェースを通じて、各識別されたキーパラメータについての上限及び下限のユーザ選択を受信させ、
前記識別されたキーパラメータ、所望の値、並びに上限及び下限を使用して、前記複数の3Dモデルのために最適化アルゴリズムを実行させ、
前記最適化アルゴリズムからの結果を表示又はエクスポートさせる、媒体。
[適用例7]
適用例6に記載の媒体であって、
前記ターゲット群からの前記選択されたターゲットは、前記解析モジュールによって先立って識別されたキーパラメータに関係付けられそのための回帰データが存在するターゲットであり、前記最適化アルゴリズムは、前記回帰データを使用して間接的な最適化を実施する、媒体。
[適用例8]
適用例6に記載の媒体であって、
前記キーパラメータは、ユーザによって手動で識別され、前記最適化アルゴリズムは、直接的な最適化を実施する、媒体。
[適用例9]
適用例1に記載の媒体であって、
前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記ユーザインターフェースを通じてユーザから前記最適化アルゴリズムのための較正オプションを受信させ、前記較正オプションは、反復の回数、収束許容差、試行の回数、及びスコア付け関数のタイプのうちの1つ以上を含む、媒体。
[適用例10]
適用例1に記載の媒体であって、
前記DOEは、モンテカルロシミュレーションであり、前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記複数の仮想製作進行に対して変動性解析を実施するためのユーザ選択を受信させ、
前記複数の仮想製作進行を実施させ、
結果を提供させてシグマの精度の査定を可能にする、媒体。
[適用例11]
適用例1に記載の媒体であって、
前記DOEは、モンテカルロシミュレーションであり、前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記複数の仮想製作進行に対して変動性解析を実施するためのユーザ選択を受信させ、
前記複数の仮想製作進行を実施させ、
結果を提供させてターゲットデータの正規性の査定を可能にする、媒体。
[適用例12]
適用例1に記載の媒体であって、
前記DOEは、モンテカルロシミュレーションであり、前記仮想製作進行の回数は、おおよそ200である、媒体。
[適用例13]
適用例1に記載の媒体であって、
前記DOEは、モンテカルロシミュレーションであり、前記仮想製作進行の回数は、所望のシグマ精度(CI)を実現するために前記ユーザによって調整できる、媒体。
[適用例14]
適用例1に記載の媒体であって、
前記DOEは、モンテカルロシミュレーションであり、前記命令は、実行されるときに、前記少なくとも1つの計算機器に、更に、
前記複数の仮想製作進行に対して変動性解析を実施するためのユーザ選択を受信させ、
前記複数の仮想製作進行を実施させ、
複数の選択されたターゲットについての結果を同時に表示させる、媒体。
[適用例15]
仮想半導体製作環境におけるキーパラメータ識別のための方法であって、
計算機器によって生み出される仮想製作環境において仮想的に製作される半導体デバイス構造について、2D設計データの選択と、複数のプロセスを含むプロセスシーケンスとを受信し、
前記計算機器によって、前記2D設計データと前記プロセスシーケンスとを使用し実験の計画(DOE)に基づいて、前記半導体デバイス構造のために複数の仮想製作進行を実施し、前記複数の仮想製作進行は、複数の3Dモデルを構築し、
前記半導体デバイス構造のための1つ以上のターゲットのユーザ識別を受信し、
前記仮想製作進行から作成された前記複数の3Dモデルにおける前記1つ以上のターゲットのための測定データのうちの1つ以上の外れ値を識別するために、前記仮想製作環境において解析モジュールを実行し、
前記複数の3Dモデルにおける前記1つ以上のターゲットのための前記測定データから前記1つ以上の識別された外れ値のうちの1つ以上を追加又は排除するためのユーザ選択を受信し、前記選択は、前記仮想製作環境に提供されたユーザインターフェースを通じて受信され、
前記測定データからの前記選択された外れ値の前記追加又は排除の後に、前記解析モジュールによって前記1つ以上のターゲットのための前記測定データに対して回帰解析を実施し、
前記回帰解析の結果に基づいて、前記解析モジュールによって1つ以上のキーパラメータを識別し、
前記識別された1つ以上のキーパラメータの識別情報を表示又はエクスポートする、
ことを備える方法。
[適用例16]
適用例15に記載の方法であって、更に、
前記識別された1つ以上のキーパラメータをプログラムによってランク付けすることを備える方法。
[適用例17]
適用例15に記載の方法であって、更に、
DOEのタイプ、前記DOEにおいて変動させるパラメータ、水準の数、及び前記DOE用の水準のための値のうちの少なくとも1つのユーザ選択を受信するためのユーザインターフェースを前記仮想製作環境に提供することを備える方法。
[適用例18]
適用例15に記載の方法であって、更に、
前記複数の3Dモデルについてのターゲットデータに対して多重共線性チェックを実施することを備える方法。
[適用例19]
適用例15に記載の方法であって、更に、
前記仮想製作環境における前記ユーザインターフェースを通じて、選択されたターゲットについての所望の値のユーザ選択を受信し、前記選択されたターゲットは、キーパラメータに関係付けられたターゲット群から作成され、
前記仮想製作環境における前記ユーザインターフェースを通じて、各識別されたキーパラメータについての上限及び下限のユーザ選択を受信し、
前記識別されたキーパラメータ、所望の値、並びに上限及び下限を使用して、前記複数の3Dモデルのために最適化アルゴリズムを実行し、
前記最適化アルゴリズムからの結果を表示又はエクスポートする、
ことを備える方法。
[適用例20]
適用例15に記載の方法であって、更に、
前記ユーザインターフェースを通じてユーザから前記最適化アルゴリズムのための較正オプションを受信することを備え、前記較正オプションは、反復の回数、収束許容差、試行の回数、及びスコア付け関数のタイプのうちの1つ以上を含む、方法。
[適用例21]
適用例15に記載の方法であって、
前記DOEは、モンテカルロシミュレーションであり、前記方法は、更に、
前記複数の仮想製作進行に対して変動性解析を実施するためのユーザ選択を受信し、
前記複数の仮想製作進行を実施し、
結果を提供してシグマの精度の査定を可能にする、
ことを備える方法。
[適用例22]
適用例15に記載の方法であって、
前記DOEは、モンテカルロシミュレーションであり、前記方法は、更に、
前記複数の仮想製作進行に対して変動性解析を実施するためのユーザ選択を受信し、
前記複数の仮想製作進行を実施し、
結果を提供してターゲットデータの正規性を査定することを可能にする、
ことを備える方法。
[適用例23]
適用例15に記載の方法であって、
前記DOEは、モンテカルロシミュレーションであり、前記方法は、更に、
前記複数の仮想製作進行に対して変動性解析を実施するためのユーザ選択を受信し、
前記複数の仮想製作進行を実施し、
複数の選択されたターゲットについての結果を同時に表示する、
ことを備える方法。
[適用例24]
仮想製作システムであって、
プロセッサを装備した計算機器であって、解析モジュールを含む仮想製作環境を形成するように構成され、前記仮想製作環境は、
計算機器によって生み出される仮想製作環境において仮想的に製作される半導体デバイス構造について、2D設計データの選択と、複数のプロセスを含むプロセスシーケンスとを受信し、
前記計算機器によって、前記2D設計データと前記プロセスシーケンスとを使用し実験の計画(DOE)に基づいて、前記半導体デバイス構造のために複数の仮想製作進行を実施し、前記複数の仮想製作進行は、複数の3Dモデルを構築し、
前記半導体デバイス構造のための1つ以上のターゲットのユーザ識別を受信し、
前記仮想製作進行から作成された前記複数の3Dモデルにおける前記1つ以上のターゲットのための測定データのうちの1つ以上の外れ値を識別するために、前記仮想製作環境において解析モジュールを実行し、
前記複数の3Dモデルにおける前記1つ以上のターゲットのための前記測定データから前記1つ以上の識別された外れ値のうちの1つ以上を追加又は排除するためのユーザ選択を受信し、前記選択は、前記仮想製作環境に提供されたユーザインターフェースを通じて受信され、
前記測定データからの前記選択された外れ値の前記追加又は排除の後に、前記解析モジュールによって前記1つ以上のターゲットのための前記測定データに対して回帰解析を実施し、
前記回帰解析の結果に基づいて、前記解析モジュールによって1つ以上のキーパラメータを識別し、
前記識別された1つ以上のキーパラメータの識別情報を表示又はエクスポートする、計算機器と、
前記計算機器と通信するディスプレイ面であって、前記3D構造モデルを3Dビューで表示するように構成された、ディスプレイ面と、
を備える仮想製作システム。
[適用例25]
適用例24に記載の仮想製作システムであって、
前記仮想製作環境は、前記識別された1つ以上のキーパラメータをプログラムによってランク付けする、仮想製作システム。
[適用例26]
適用例24に記載の仮想製作システムであって、
前記仮想製作環境は、
前記ユーザインターフェースを通じて、選択されたターゲットについての所望の値のユーザ選択を受信し、前記選択されたターゲットは、キーパラメータに関係付けられたターゲット群から作成され、
前記ユーザインターフェースを通じて、各識別されたキーパラメータについての上限及び下限のユーザ選択を受信し、
前記識別されたキーパラメータ、所望の値、並びに上限及び下限を使用して、前記複数の3Dモデルのために最適化アルゴリズムを実行し、
前記最適化アルゴリズムからの結果を表示又はエクスポートする、
仮想製作システム。
[適用例27]
適用例24に記載の仮想製作システムであって、
前記仮想製作環境は、
前記ユーザインターフェースを通じて、選択されたターゲットについての所望の値のユーザ選択を受信し、前記選択されたターゲットは、キーパラメータに関係付けられたターゲット群から作成され、
前記ユーザインターフェースを通じて、各識別されたキーパラメータについての上限及び下限のユーザ選択を受信し、
前記識別されたキーパラメータ、所望の値、並びに上限及び下限を使用して、前記複数の3Dモデルのために最適化アルゴリズムを実行し、
前記最適化アルゴリズムからの結果を表示又はエクスポートする、
仮想製作システム。
【外国語明細書】