(19)【発行国】日本国特許庁(JP)
(12)【公報種別】特許公報(B2)
(11)【特許番号】
(24)【登録日】2024-10-28
(45)【発行日】2024-11-06
(54)【発明の名称】高導電性パッシベーション層及び高アスペクト比プラズマエッチング中にそれを形成する方法
(51)【国際特許分類】
H01L 21/3065 20060101AFI20241029BHJP
【FI】
H01L21/302 105A
H01L21/302 101B
H01L21/302 101C
(21)【出願番号】P 2023538883
(86)(22)【出願日】2021-12-16
(86)【国際出願番号】 US2021063683
(87)【国際公開番号】W WO2022146697
(87)【国際公開日】2022-07-07
【審査請求日】2023-08-02
(32)【優先日】2020-12-28
(33)【優先権主張国・地域又は機関】US
(73)【特許権者】
【識別番号】591036572
【氏名又は名称】レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
(74)【代理人】
【識別番号】100090398
【氏名又は名称】大渕 美千栄
(74)【代理人】
【識別番号】100090387
【氏名又は名称】布施 行夫
(72)【発明者】
【氏名】グオウ,シヤンユー
(72)【発明者】
【氏名】デイモズ,キーラ
(72)【発明者】
【氏名】スタフォード,ナサン
【審査官】加藤 芳健
(56)【参考文献】
【文献】特開2003-059918(JP,A)
【文献】米国特許出願公開第2011/0059617(US,A1)
【文献】米国特許出願公開第2007/0049018(US,A1)
【文献】特表2020-515047(JP,A)
【文献】国際公開第2019/089766(WO,A1)
(58)【調査した分野】(Int.Cl.,DB名)
H01L 21/3065
(57)【特許請求の範囲】
【請求項1】
反応チャンバー内の基板に高アスペクト比(HAR)エッチングプロセス中にHAR
パターン化構造を形成する方法であって、
ハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物とを含むエッチャントの蒸気に前記基板を逐次的に又は同時に曝露することであって、前記基板が、その上に配置された膜と、前記膜上に配置されたパターン化されたマスク層とを有すること;
プラズマを活性化して、活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物と活性化された添加剤化合物とを生成すること;及び
前記パターン化されたマスク層によって覆われていない前記膜と、前記活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物及び前記活性化された添加剤化合物との間でエッチング反応を進行させて、前記パターン化されたマスク層から前記膜を選択的にエッチングし、それによって前記HARパターン化構造を形成すること;
を含
み、
高導電性側壁パッシベーション層が前記HARパターン化構造の側壁上に形成され、
前記活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物と前記活性化された添加剤化合物とを用いて形成された前記高導電性側壁パッシベーション層の導電率が、前記活性化された添加剤化合物を添加せずに前記活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物を用いて形成された前記高導電性側壁パッシベーション層の前記導電率よりも少なくとも約10%高い、方法。
【請求項2】
酸化剤を前記反応チャンバーに導入するステップをさらに含み、前記酸化剤が、O
2、O
3、CO、CO
2、NO、N
2O、NO
2、H
2O、H
2O
2、COS、SO
2、及びそれらの組合せから選択される、請求項1に記載の方法。
【請求項3】
不活性ガスを前記反応チャンバーに導入するステップをさらに含み、前記不活性ガスが、He、Ar、Xe、Kr、Ne及びN
2からなる群から選択される、請求項1に記載の方法。
【請求項4】
前記ハイドロフルオロカーボン又はフルオロカーボン化合物が、CF
4、CH
3F、C
2F
6、C
3F
8、C
2HF
5、C
5F
8、C
6F
6、C
4F
6、C
4F
8、C
1~C
5の飽和若しくは不飽和の直鎖、分岐、環状のハイドロフルオロカーボン、例えばC
4H
2F
6、CHF
3、CH
2F
2、又はそれらの組合せを含む、請求項1に記載の方法。
【請求項5】
前記ハイドロフルオロカーボン又はフルオロカーボン化合物がC
4H
2F
6である、請求項1に記載の方法。
【請求項6】
前記添加剤化合物が次の式を有する
ヨウ素と、炭
素及び/又は
ケイ素との元素を含む、請求項1に記載の方法:
C
nR
1R
2R
3I、
SiR
1R
2R
3I、
SiR
1R
2I
xF
(2-x)、
SiRI
yF
(3-y)、
SiI
zF
(4-z)、又は
C
nF
(2n+1)I
(式中、n=1~10であり;x=1~2であり;y=1~3であり;z=1~3であり;R、R
1、R
2、及びR
3は、それぞれ独立して、H、C
1~C
10の直鎖、分岐、又は環状の、飽和又は不飽和の、芳香族、複素環式の、部分的に又は完全にフッ素化された、置換又は無置換のアルキル基から選択され;R
1とR
2、R
2とR
3、又はR
1とR
3は連結して環状基を形成していてもよい)。
【請求項7】
前記添加剤化合物が以下から選択される、請求項1~
6のいずれか一項に記載の方法
【化1】
【化2】
。
【請求項8】
前記膜が、O及び/又はNを含み
、B、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントを含むケイ素含有膜である、請求項1~
6のいずれか一項に記載の方法。
【請求項9】
前記パターン化されたマスク層が、a-C層、ドープされたa-C層、フォトレジスト層、反射防止層、有機平坦化層、ポリSi層、金属酸化物層、例えばTi、Al、Zr、Hfなどの酸化物、及びそれらの組合せである、請求項1~
6のいずれか一項に記載の方法。
【請求項10】
前記膜に形成された前記HARパターン化構造が約1:1~約200:1のアスペクト比を有する、請求項1~
6のいずれか一項に記載の方法。
【請求項11】
追加のエッチングガスを前記反応チャンバーに導入することをさらに含み、前記追加のエッチングガスが、cC
4F
8、C
4F
8、cC
5F
8、C
5F
8、C
4F
6、CF
4、CH
3F、CF
3H、CH
2F
2、C
3HF
7、C
3F
6、C
3H
2F
6、C
3H
2F
4、C
3H
3F
5、C
4HF
7、C
5HF
9、C
3F
6、C
3F
8、CF
3I、C
2F
3I、C
2F
5I、C
3F
7I、1-ヨードヘプタフルオロプロパン(1-C
3F
7I)、2-ヨードヘプタフルオロプロパン(2-C
3F
7I)、C
3HF
7、COS、FNO、F-C≡N、CS
2、SO
2、SF
6、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C
4H
2F
6)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C
4H
2F
6)、ヘキサフルオロイソブテン(C
4H
2F
6)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C
4H
2F
6)、1,1,2,2,3-ペンタフルオロシクロブタン(C
4H
3F
5)、1,1,2,2-テトラフルオロシクロブタン(C
4H
4F
4)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C
4H
2F
6)、及びそれらの組合せからなる群から選択される、請求項1~
6のいずれか一項に記載の方法。
【請求項12】
HARパターン化構造を形成する方法であって、
反応チャンバー中で基板をC
4H
2F
6及びCH
3Iの蒸気に逐次的に又は同時に曝露するステップであって、前記基板が、その上に配置された膜と、前記膜上に配置されたパターン化されたマスク層とを有するステップ;
プラズマを活性化して、活性化されたC
4H
2F
6と活性化されたCH
3Iとを生成するステップ;及び
前記パターン化されたマスク層によって覆われていない前記膜と、前記活性化されたC
4H
2F
6及び前記CH
3Iとの間でエッチング反応を進行させて、前記パターン化されたマスク層から前記膜を選択的にエッチングし、それによって前記HARパターン化構造を形成するステップ;
を含
み、
高導電性側壁パッシベーション層が前記HARパターン化構造の側壁上に形成され、
前記活性化されたC
4
H
2
F
6
及び前記活性化されたCH
3
Iを用いて形成された前記高導電性側壁パッシベーション層の導電率が、前記活性化されたCH
3
Iを添加せずに前記活性化されたC
4
H
2
F
6
を用いて形成された前記高導電性側壁パッシベーション層の導電率よりも少なくとも約10%高い、方法。
【請求項13】
酸化剤を前記反応チャンバー中に導入するステップをさらに含み、前記酸化剤が、O
2、O
3、CO、CO
2、NO、N
2O、NO
2、H
2O、H
2O
2、COS、SO
2、及びそれらの組合せから選択される、請求項
12に記載の方法。
【請求項14】
不活性ガスを前記反応チャンバーに導入するステップをさらに含み、前記不活性ガスがHe、Ar、Xe、Kr、Ne、及びN
2からなる群から選択される、請求項
12に記載の方法。
【請求項15】
前記膜に形成された前記HARパターン化構造が約1:1~約200:1のアスペクト比を有する、請求項
12~
14のいずれか一項に記載の方法。
【請求項16】
追加のエッチングガスを前記反応チャンバーに導入することをさらに含み、前記追加のエッチングガスが、cC
4F
8、C
4F
8、cC
5F
8、C
5F
8、C
4F
6、CF
4、CH
3F、CF
3H、CH
2F
2、C
3HF
7、C
3F
6、C
3H
2F
6、C
3H
2F
4、C
3H
3F
5、C
4HF
7、C
5HF
9、C
3F
6、C
3F
8、CF
3I、C
2F
3I、C
2F
5I、C
3F
7I、1-ヨードヘプタフルオロプロパン(1-C
3F
7I)、2-ヨードヘプタフルオロプロパン(2-C
3F
7I)、C
3HF
7、COS、FNO、F-C≡N、CS
2、SO
2、SF
6、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C
4H
2F
6)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C
4H
2F
6)、ヘキサフルオロイソブテン(C
4H
2F
6)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C
4H
2F
6)、1,1,2,2,3-ペンタフルオロシクロブタン(C
4H
3F
5)、1,1,2,2-テトラフルオロシクロブタン(C
4H
4F
4)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C
4H
2F
6)、及びそれらの組合せからなる群から選択される、請求項
12~
14のいずれか一項に記載の方法。
【請求項17】
前記添加剤化合物が、C
6
H
5
I又はCHF
2
Iである、請求項1に記載の方法。
【発明の詳細な説明】
【技術分野】
【0001】
関連出願への相互参照
本出願は、全ての目的に関して、参照によって全体として本明細書に組み込まれる、2020年12月28日出願の米国特許出願第17/135,216号の利益を主張する。
【0002】
高アスペクト比(HAR)プラズマエッチング中に、Si、C、及び/若しくはヨウ素元素をドーピングすること、並びに/又は環状、芳香族、複素環式の化学構造を導入することにより、添加剤としてエッチングガスを使用して側壁上に高導電性側壁パッシベーション層を形成する方法が開示される。
【背景技術】
【0003】
50年以上にわたり、ムーアの法則により、半導体製造業者は、競合他社に対するコスト上の優位性を維持しながらもトランジスタ/チップの速度及び能力を向上させるために、デバイスのフィーチャサイズを小さくし続けている。このことは、フィーチャのどんどん小さくなるサイズと劇的に増加しているアスペクト比の要求にうまく応えるための製造プロセスに新たな課題をもたらしている。例えば、3次元ゲートスタックNANDフラッシュメモリ(3D-NAND)の製造には、アスペクト比が40を超える90+NAND層に小さなホールフィーチャをエッチングする能力が必要とされる。超高アスペクト比(HAR)エッチングを使用して、全てのウエハに1兆個を超えるホールをエッチングする必要がある。
【0004】
エッチングされたフィーチャの垂直方向の等方性は、プラズマシース形成中のイオン輸送によって得られる。原理的には、正と負の粒子はホール内で同じ軌道を描き、HARホール底部で電荷を均等にするはずである。しかし、電子シェーディング効果のため、HARマスクパターンの底部に電荷が蓄積し、不完全なエッチング、ボーイング、ツイスティング、及びHARスタックの上部と底部の間の限界寸法(CD)のばらつきが生じる可能性がある。そのため、エッチングプロファイルとCD制御を改善するために、HARエッチング中の側壁のチャージアップを除去する又は最小限に抑えるための多くの取り組みが産業界で行われてきており、また継続されている。
【0005】
コンタクトホールの歪みはコンタクトホール側壁の非対称な帯電によって引き起こされることが知られており、これによってコンタクトホール内の局所的な電場が変化し、コンタクトホール内の反応性イオンの方向が変化する(Kim et al.,J.Vac.Sci.Technol.A,Vol.33,021303-5 (2015)及びNegishi et al,J.Vac.Sci.Technol.B,Vol.35,051205(2017)を参照)。HARエッチングでは、マスクの劣化を評価するために楕円率が使用されてきた。高い(100%に近い)楕円率は、HARホールのねじれを回避し、エッチングプロファイルの歪みを低減するのに役立ち得る。
【0006】
以下は、HARエッチング中のパッシベーション層の特性を調整するために使用されてきた方法のいくつかの例であるが、当然の結果として、1)プロセスチャンバーへのガス/化学物質の供給設定が複雑になり、2)HARフィーチャの上部及び底部におけるパッシベーション層の均一性が不十分になり、3)チャンバーのクリーニングの問題-チャンバー壁面に若干の金属含有ポリマーが堆積し、これを完全に除去することが困難である、などが生じる。
【0007】
Sandhuらの米国特許出願公開第20070049018号明細書には、ハードフォトレジストマスクを使用して酸化物層に実質的に垂直なコンタクトホールをHARコンタクトエッチングする方法が開示されている。プラズマエッチングガスは、CH2F2、C4F8;C3H3F5、C4F8;CHF3;C2F6;C2HF5、CH3F、又はそれらの組合せのうちの1つを含むフッ化炭化水素である。ドーパント分子は、HI、CH3I、炭素、カリウム、カルシウム、PF6、BF3、塩化物、AsF6、又はそれらの組合せのうちの1つを含む。ドープされたプラズマエッチングガスは、エッチングプロセス中にコンタクトホールの側壁に沿って形成された炭素鎖ポリマーを導電状態にドープすることによって、酸化物層を通る実質的に垂直なコンタクトホールをエッチングする。炭素鎖ポリマーの導電状態により、側壁に沿った電荷の蓄積が減少し、電荷をブリードオフすることによってコンタクトホールのツイスティングが防止され、アクティブエリアのランディング領域との適切なアライメントが確保される。エッチングは下にある基板で停止する。
【0008】
Beraらの米国特許第7846846B2号明細書には、各コンタクト開口部の側壁に高導電性の薄膜を形成することでエッチングプロファイルのボーイングやベンディングを防止しながら、HARコンタクト開口部をエッチングする方法が開示されている。側壁上の薄膜の導電性は、エッチングプロセス中に周期的に行われるイオン衝撃によって強化される。エッチャントは、C2F4、C4F6、CH2F2、又はC4F8、C1~C5の飽和若しくは不飽和の直鎖、分岐、環状のハイドロフルオロカーボン、例えばC4H2F6、CHF3、CH2F2、又はそれらの組合せのうちの少なくとも1つを含むフルオロカーボン/フルオロハイドロカーボンガスである。
【0009】
Nikhilらの米国特許第9543158号明細書には、基板上の誘電体材料に凹状のフィーチャを形成するための様々な方法、装置、及びシステムが開示されている。場合によっては、プラズマ支援原子層堆積、修正プラズマ支援原子層堆積、又はプラズマ支援化学蒸着を使用して保護コーティングが堆積される。エッチング化学物質は、フルオロカーボンと酸素、C4F6、C4F3、N2、CO、CF4、及びO2の組合せである。保護層はセラミック系材料又は有機ポリマーである。酸化ホウ素(BxOy)や窒化ホウ素(BxNy)などのホウ素含有材料については、反応物質としては、限定されないが、ホウ酸トリイソプロピル([(CH3)2CHO]3B)、トリメチルホウ素-d9(B(CD3)3)などが挙げられる。酸化ケイ素(SixOy)及び窒化ケイ素(SixNy)などのケイ素含有材料については、反応物質は、例えばシラン、ハロシラン、又はアミノシランであってよい。
【0010】
HARエッチングプロセスは、メモリデバイスにとって重要なプロセスとなった。HARフィーチャの実効バイアス電力を増加させることによるイオンエネルギー制御は進歩し続けている。HARホール内のエッチングフロントにおける電荷の蓄積を克服するために、イオンエネルギーを増加させる多大な努力が払われた。過去数年間のバイアス電力の傾向に基づくと、現在必要な電力は20kWを超えるであろう。バイアス電力が増加すると、多くの課題が生じる。アーク放電の防止、効果的な冷却、及び電力供給システムは、全て高出力能力を実現するために重要である。さらに、中性種はホールを介した拡散によってのみ移動するため、アスペクト比が増加すると中性フラックスを補うことが難しくなる。
【発明の概要】
【発明が解決しようとする課題】
【0011】
したがって、バイアス電力を低減しながら高導電性の側壁パッシベーション層を実現することが必要とされている。
【課題を解決するための手段】
【0012】
反応チャンバー内の基板に高アスペクト比(HAR)エッチングプロセス中にHAR構造を形成する方法が開示され、この方法は:
ハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物とを含むエッチャントの蒸気に基板を逐次的に又は同時に曝露することであって、基板が、その上に配置された膜と、膜上に配置されたパターン化されたマスク層とを有すること;
プラズマを活性化して、活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物と活性化された添加剤化合物とを生成すること;及び
パターン化されたマスク層によって覆われていない膜と、活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物及び活性化された添加剤化合物との間でエッチング反応を進行させて、パターン化されたマスク層から膜を選択的にエッチングし、それによってHARパターン化構造を形成すること;
を含む。開示された方法は、次の態様の1つ又はそれ以上を含み得る:
・酸化剤を反応チャンバー中に導入するステップをさらに含み、酸化剤が、O
2、O
3、CO、CO
2、NO、N
2O、NO
2、H
2O、H
2O
2、COS、SO
2、及びそれらの組合せから選択される;
・酸化剤がO
2である;
・酸化剤がO
3である;
・酸化剤がCOである;
・チャンバーに導入する前に、エッチング化合物、添加剤、及び酸素含有ガスを混合して混合物を生成する;
・酸素含有ガスとは別にエッチング化合物及び添加剤を導入する;
・酸素含有ガスを連続的に導入し、ヨウ素含有エッチング化合物を導入する;
・酸素含有ガスが、エッチング化合物、添加剤、及び酸素含有ガスの総体積の約0.01%v/v~約99.9%v/vを占める;
・酸素含有ガスが、エッチング化合物、添加剤、及び酸素含有ガスの総体積の約0.01%v/v~約10%v/vを占める;
・不活性ガスを反応チャンバーに導入するステップを含み、不活性ガスがHe、Ar、Xe、Kr、Ne及びN
2からなる群から選択される;
・不活性ガスがArである;
・不活性ガスがXeである;
・不活性ガスがKrである;
・チャンバーに導入する前に、エッチング化合物、添加剤、及び不活性ガスを混合して混合物を生成する;
・不活性ガスとは別にエッチング化合物及び添加剤を導入する;
・不活性ガスを連続的に導入し、エッチング化合物及び添加剤をパルスで導入する;
・不活性ガスが、エッチング化合物、添加剤、及び不活性ガスの蒸気の総体積の約0.01%v/v~約99.9%v/vを占める;
・不活性ガスが、エッチング化合物、添加剤、及び不活性ガスの蒸気の総体積の約90%v/v~約99.9%v/vを占める;
・基板がSiウエハである;
・基板が結晶シリコン層である;
・パターン化された構造を形成する;
・パターン化された構造が3D NANDアパーチャーである;
・パターン化された構造がコンタクトホールである;
・パターン化された構造が3D NANDコンタクトホールである;
・パターン化された構造がDRAMコンタクトである;
・パターン化された構造がチャネルホールである;
・パターン化された構造が3D NANDチャネルホールである;
・パターン化された構造が3D NANDスリットコンタクトである;
・アパーチャーが階段状コンタクトである;
・アパーチャーが自己整合コンタクトである;
・アパーチャーが自己整合ビアである;
・アパーチャーがスーパービアである;
・プラズマ活性化されたフルオロカーボン化合物及び活性化された添加剤化合物が膜と反応して揮発性副生成物を形成する;
・揮発性副生成物が反応チャンバーから除去される;
・高導電性側壁パッシベーション層がHARパターン化構造の側壁上に形成される;
・活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物及び活性化された添加剤化合物を用いて形成された高導電性側壁パッシベーション層の導電率が、活性化された添加剤化合物を添加せずに活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物を用いて形成された高導電性側壁パッシベーション層の導電率よりも少なくとも約10%高い;
・ハイドロフルオロカーボン又はフルオロカーボン化合物が、CF
4、CH
3F、C
2F
6、C
3F
8、C
2HF
5、C
5F
8、C
6F
6、C
4F
6、C
4F
8、C
1~C
5の飽和若しくは不飽和の直鎖、分岐、環状のハイドロフルオロカーボン、例えばC
4H
2F
6、CHF
3、CH
2F
2、又はそれらの組合せを含む;
・ハイドロフルオロカーボン又はフルオロカーボン化合物がC
4H
2F
6である;
・添加剤化合物が次の式を有するケイ素、炭素、及び/又はヨウ素の元素を含む:
C
nR
1R
2R
3I、
SiR
1R
2R
3I、
SiR
1R
2I
xF
(2-x)、
SiRI
yF
(3-y)、
SiI
zF
(4-z)、又は
C
nF
(2n+1)I
(式中、n=1~10であり;x=1~2であり;y=1~3であり;z=1~3であり;R、R
1、R
2、及びR
3は、それぞれ独立して、H、C
1~C
10の直鎖、分岐、又は環状の、飽和又は不飽和の、芳香族、複素環式の、部分的に又は完全にフッ素化された、置換又は無置換のアルキル基から選択され;R
1とR
2、R
2とR
3、又はR
1とR
3は連結して環状基を形成していてもよい);
・添加剤化合物が以下から選択される
【化1】
【化2】
・上の開示された添加剤CR
1R
2R
3Iが以下を含む
【化3】
・上の開示された添加剤SiR
1R
2R
3Iが以下を含む
【化4】
・上の開示された添加剤SiR
1R
2I
xF
(2-x)が以下を含む
【化5】
・上の開示された添加剤SiRI
yF
(3-y)が以下を含む
【化6】
・上の開示された添加剤SiI
zF
(4-z)が以下を含む
【化7】
・開示された添加剤C
nF
(2n+1)Iが以下を含む
【化8】
・添加剤化合物が以下から選択される
ヨードメタンCH
3I(CAS No.:74-88-4)、
ヨードベンゼンC
6H
5I(CAS No.:591-50-4)、
2-ヨードプロパンC
3H
7I(CAS No.:75-30-9)、
1-ヨードプロパンC
3H
7I(CAS No.:107-08-4)、
1-ヨードエタンC
2H
5I(CAS No.:75-03-6)、
ヨウ化ペルフルオロブチルC
4F
9I(CAS No.:423-39-2)、
ジフルオロヨードメタンCHIF
2(CAS No.:1493-03-4)、
ジフルオロヨード(ペンタフルオロエチル)シランC
2F
7ISi(CAS No.:36972-59-5)、
1-(ジフルオロヨードシリル)-2-メチルベンゼンC
7H
7F
2ISi(CAS No.:174711-76-3)、
ジフルオロヨード(トリフルオロメチル)シランCF
5ISi(CAS No.:27668-68-4)、
トリエチルヨードシランC
6H
15ISi(CAS No.:1112-49-8)、
フルオロトリヨードシランFI
3Si(CAS No.:16865-60-4)、
ビフルオロビオヨードシランF
2I
2Si(CAS No.:27669-15-4)、
トリフルオロヨードシランF
3ISi(CAS No.:27668-68-4)、
ヨードトリメチルシランC
3H
9ISi(CAS No.:16029-98-4)、又は
ジヨードシランSiH
2I
2(CAS番号:13760-02-6);
・添加剤化合物がヨードメタンCH
3I(CAS番号:74-88-4)である;
・添加剤化合物がヨードベンゼンC
6H
5I(CAS番号:591-50-4)である;
・添加剤化合物が2-ヨードプロパンC
3H
7I(CAS番号:75-30-9)である;
・添加剤化合物が1-ヨードプロパンC
3H
7I(CAS番号:107-08-4)である;
・添加剤化合物が1-ヨードエタンC
2H
5I(CAS番号:75-03-6)である;
・添加剤化合物がヨウ化ペルフルオロブチルC
4F
9I(CAS番号:423-39-2)である;
・添加剤化合物がジフルオロヨードメタンCHIF
2(CAS No.:1493-03-4)である;
・添加剤化合物がジフルオロヨード(ペンタフルオロエチル)シランC
2F
7ISi(CAS番号:36972-59-5)である;
・添加剤化合物がジフルオロヨード(ペンタフルオロエチル)シランC
2F
7ISi(CAS番号:36972-59-5)である;
・添加剤化合物が1-(ジフルオロヨードシリル)-2-メチル-ベンゼンC
7H
7F
2ISi(CAS番号:174711-76-3)である;
・添加剤化合物がジフルオロヨード(トリフルオロメチル)シランCF
5ISi(CAS番号:27668-68-4)である;
・添加剤化合物がトリエチルヨードシランC
6H
15ISi(CAS番号:1112-49-8)である;
・添加剤化合物がフルオロトリヨードシランFI
3Si(CAS番号:16865-60-4)である;
・添加剤化合物がビフルオロビオヨードシランF
2I
2Si(CAS番号:27669-15-4)である;
・添加剤化合物がトリフルオロヨードシランF
3ISi(CAS番号:27668-68-4)である;
・添加剤化合物がヨードトリメチルシランC
3H
9ISi(CAS番号:16029-98-4)である;
・添加剤化合物がジヨードシランSiH
2I
2(CAS番号:13760-02-6)である;
・膜が、O及び/又はNを含み、任意選択的にB、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントを含むケイ素含有膜である;
・膜がOを含むケイ素含有膜である;
・膜がNを含むケイ素含有膜である;
・膜が、B、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントを任意選択的に含むケイ素含有膜である;
・ケイ素含有膜が、酸化ケイ素(SiO)、窒化ケイ素(SiN)、結晶Si、ポリシリコン(p-Si)、多結晶シリコン、非晶質シリコン、低誘電率SiCOH、SiOCN、SiC、SiON、及びSi
aO
bH
cC
dN
e(a>0;b、c、d、e≧0)、交互のSiOとSiN(ONON)の層、交互のSiOとp-Si(OPOP)の層を含む;
・ケイ素含有膜が酸素、窒素、炭素、水素、又はそれらの組合せを含む;
・ケイ素含有膜がSiO
xN
yH
zC
kであり、xは0~2の範囲であり、yは0~4の範囲であり、zは0~約1の範囲であり、kは0~1の範囲である;
・ケイ素含有膜がSiO層を含む;
・ケイ素含有膜がSiN層である;
・ケイ素含有膜が交互のSiOとSiN(ONON)の層を含む;
・ケイ素含有膜が交互のSiOとp-Si(OPOP)の層を含む;
・ケイ素含有膜がB、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGeなどのドーパントを含む;
・交互の層が、酸化ケイ素、窒化ケイ素、ポリシリコン、結晶シリコン、SiOCH、SiON、Si
aO
bC
cN
dH
e(a>0;b、c、d、e≧0)、又はそれらの組合せの層を含む;
・交互の層が、酸素原子、窒素原子、炭素原子、水素原子、又はそれらの組合せを含む;
・交互の層がケイ素含有膜である;
・交互の層が酸化ケイ素の層と窒化ケイ素の層を含む;
・交互の層が酸化ケイ素と窒化ケイ素の交互の層を含む;
・交互の層が酸化ケイ素と窒化ケイ素の交互の層である;
・交互の層が酸化ケイ素の層とポリシリコンの層を含む;
・交互の層が酸化ケイ素とポリシリコンの交互の層を含む;
・交互の層が酸化ケイ素とポリシリコンの交互の層である;
・交互の層がハードマスク層から選択的にエッチングされる;
・交互の層がa-C層から選択的にエッチングされる;
・交互の層がドープされたカーボン層から選択的にエッチングされる;
・酸化ケイ素と窒化ケイ素の交互の層がa-C層から選択的にエッチングされる;
・酸化ケイ素と窒化ケイ素の交互の層がドープされたカーボン層から選択的にエッチングされる;
・酸化ケイ素とポリシリコンの交互の層がa-C層から選択的にエッチングされる;
・酸化ケイ素とポリシリコンの交互の層がドープされたカーボン層から選択的にエッチングされる;
・酸化ケイ素層がハードマスク層から選択的にエッチングされる;
・酸化ケイ素層がa-C層から選択的にエッチングされる;
・酸化ケイ素層がドープされたカーボン層から選択的にエッチングされる;
・窒化ケイ素層がハードマスク層から選択的にエッチングされる;
・窒化ケイ素層がa-C層から選択的にエッチングされる;
・窒化ケイ素層がドープされたカーボン層から選択的にエッチングされる;
・ポリシリコン層がハードマスク層から選択的にエッチングされる;
・ポリシリコン層がa-C層から選択的にエッチングされる;
・ポリシリコン層がドープされたカーボン層から選択的にエッチングされる;
・ケイ素含有膜が、非晶質カーボン層、ドープされた非晶質カーボン層、フォトレジスト層、反射防止層、又は有機平坦化層から選択的にエッチングされる;
・酸化ケイ素層が、非晶質カーボン層、ドープされた非晶質カーボン層、フォトレジスト層、反射防止層、又は有機平坦化層から選択的にエッチングされる;
・エッチング化合物が、酸化ケイ素層と窒化ケイ素層の両方を高いエッチング速度でエッチングする;
・パターン化されたマスク層が、a-C層、ドープされたa-C層、フォトレジスト層、反射防止層、有機平坦化層、ポリSi層、金属酸化物層、例えばTi、Al、Zr、Hfなどの酸化物、及びそれらの組合せである;
・ケイ素含有層上にハードマスク層が配置される;
・ハードマスク層がパターン化されたハードマスク層である;
・ハードマスク層が、非晶質カーボン層、ドープされた非晶質カーボン層、フォトレジスト層、反射防止層、有機平坦化層、又はそれらの組合せである;
・ハードマスク層が、CVD、PECVD、ALD、PEALD、又はスピンオン堆積(SOD)非晶質カーボン又はドープ非晶質カーボン、ケイ素含有スピンオンマスク、又は炭素含有スピンオンマスクの層である;
・ハードマスク層が非晶質カーボン(a-C)層である;
・ハードマスク層がドープされたカーボン層である;
・ドープされた非晶質カーボン層が、ホウ素がドープされたa-C層である;
・ドープされた非晶質カーボン層が、タングステンがドープされたa-C層である;
・膜に形成されたHARパターン化構造が約1:1~約200:1のアスペクト比を有する;
・膜に形成されたHARパターン化構造が約1:1~約20:1のアスペクト比を有する;
・膜に形成されたHARパターン化構造が約21:1~約60:1のアスペクト比を有する;
・膜に形成されたHARパターン化構造が約21:1~約200:1のアスペクト比を有する;
・膜に形成されたHARパターン化構造が約61:1~約200:1のアスペクト比を有する;
・追加のエッチングガスを反応チャンバーに導入することをさらに含み、追加のエッチングガスが、cC
4F
8、C
4F
8、cC
5F
8、C
5F
8、C
4F
6、CF
4、CH
3F、CF
3H、CH
2F
2、C
3HF
7、C
3F
6、C
3H
2F
6、C
3H
2F
4、C
3H
3F
5、C
4HF
7、C
5HF
9、C
3F
6、C
3F
8、CF
3I、C
2F
3I、C
2F
5I、C
3F
7I、1-ヨードヘプタフルオロプロパン(1-C
3F
7I)、2-ヨードヘプタフルオロプロパン(2-C
3F
7I)、C
3HF
7、COS、FNO、F-C≡N、CS
2、SO
2、SF
6、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C
4H
2F
6)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C
4H
2F
6)、ヘキサフルオロイソブテン(C
4H
2F
6)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C
4H
2F
6)、1,1,2,2,3-ペンタフルオロシクロブタン(C
4H
3F
5)、1,1,2,2-テトラフルオロシクロブタン(C
4H
4F
4)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C
4H
2F
6)及びそれらの組合せからなる群からなる群から選択される;
・エッチング化合物及び添加剤を追加のエッチングガスとは別に導入する;
・約0.01%v/v~約99.99%v/vの追加のエッチングガスをエッチング化合物と添加剤に添加する;
・RF電力を印加してプラズマを活性化する;
・約25W~約100,000Wの範囲のRF電力によってプラズマを活性化する;
・ハイドロフルオロカーボン又はフルオロカーボンを含む添加剤を使用することによって、添加剤なしのエッチングと比較してバイアス電力が低い;
・ハイドロフルオロカーボン又はフルオロカーボンを含む添加剤を使用することによって、添加剤を使用しない場合よりもバイアス電力が少なくとも約10%低い;
・エッチング圧力が約1mTorr~約100Torrの範囲である;
・エッチング圧力が約1mTorr~約50Torrの範囲である;
・エッチング圧力が約1mTorr~約10Torrの範囲である;
・エッチング圧力が約1mTorr~約50mTorrの範囲である;
・約0.1sccm~約1slmの範囲の流量でエッチング化合物及び添加剤の蒸気を導入する;
・約0.1sccm~約1slmの範囲の流量でエッチング化合物の蒸気を導入する;
・約0.1sccm~約1slmの範囲の流量で添加剤の蒸気を導入する;
・基板を約-100℃~約500℃の範囲の温度に維持する;
・基板を約20℃~約150℃の範囲の温度に維持する;
・基板を約20℃~約110℃の範囲の温度に維持する;そして
・四重極質量分析装置、光学発光分光器、FTIR、又は他のラジカル/イオン測定ツールによって、プラズマ下でエッチング化合物を測定する。
【0013】
また、HARパターン化構造を形成する方法も開示され、この方法は:
基板をC4H2F6及びCH3Iの蒸気に逐次的に又は同時に曝露するステップであって、基板が、その上に配置された膜と、膜上に配置されたパターン化されたマスク層とを有するステップ;
プラズマを活性化して、活性化されたC4H2F6とCH3Iとを生成するステップ;及び
パターン化されたマスク層によって覆われていない膜と、活性化されたC4H2F6又はCH3Iとの間でエッチング反応を進行させて、パターン化されたマスク層から膜を選択的にエッチングし、それによってHARパターン化構造を形成するステップ;
を含む。開示された方法は、次の態様の1つ又はそれ以上を含み得る:
・酸化剤を反応チャンバー中に導入するステップをさらに含み、酸化剤が、O2、O3、CO、CO2、NO、N2O、NO2、H2O、H2O2、COS、SO2、及びそれらの組合せから選択される;
・不活性ガスを反応チャンバーに導入するステップをさらに含み、不活性ガスがHe、Ar、Xe、Kr、Ne、及びN2からなる群から選択される;
・高導電性側壁パッシベーション層がHARパターン化構造の側壁上に形成される;
・活性化されたC4H2F6及び活性化されたCH3Iを用いて形成された高導電性側壁パッシベーション層の導電率が、活性化されたCH3Iを添加せずに活性化されたC4H2F6を用いて形成された高導電性側壁パッシベーション層の導電率よりも少なくとも約10%高い;
・膜に形成されたHARパターン化構造が約1:1~約200:1のアスペクト比を有する;
・追加のエッチングガスを反応チャンバーに導入することをさらに含み、追加のエッチングガスが、cC4F8、C4F8、cC5F8、C5F8、C4F6、CF4、CH3F、CF3H、CH2F2、C3HF7、C3F6、C3H2F6、C3H2F4、C3H3F5、C4HF7、C5HF9、C3F6、C3F8、CF3I、C2F3I、C2F5I、C3F7I、1-ヨードヘプタフルオロプロパン(1-C3F7I)、2-ヨードヘプタフルオロプロパン(2-C3F7I)、C3HF7、COS、FNO、F-C≡N、CS2、SO2、SF6、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C4H2F6)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C4H2F6)、ヘキサフルオロイソブテン(C4H2F6)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C4H2F6)、1,1,2,2,3-ペンタフルオロシクロブタン(C4H3F5)、1,1,2,2-テトラフルオロシクロブタン(C4H4F4)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C4H2F6)及びそれらの組合せからなる群から選択される;そして
・膜が、O及び/又はNを含み、任意選択的にB、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントを含むケイ素含有膜である。
【0014】
表示法及び命名法
以下の詳細な説明及び特許請求の範囲では、一般に、当該技術において周知である多数の略語、記号、及び用語が利用され、以下のものが含まれる:
【0015】
本明細書で使用される不定冠詞「a」又は「an」は、1つ又は複数を意味する。
【0016】
本明細書で使用される、文章中又は特許請求の範囲中の「約」又は「ほぼ」又は「およそ」は、記載された値の±10%を意味する。
【0017】
本明細書で使用される、文章中又は特許請求の範囲中の「室温」は、約20℃~約25℃を意味する。
【0018】
「ウエハ」又は「パターン化されたウエハ」という用語は、基板上のケイ素含有膜を含む任意の既存の膜のスタックと、パターンエッチングのために形成されたケイ素含有膜を含む任意の既存の膜のスタック上のパターン化されたハードマスク層とを有するウエハを指す。
【0019】
「基板」という用語は、その上でプロセスが行われる1つ以上の材料を指す。基板は、その上でエッチングプロセスが行われる1つ以上の材料を有するウエハ又はパターン化されたウエハを指す場合がある。基板は、半導体、太陽光発電、フラットパネル、又はLCD-TFTデバイスの製造に使用される任意の適切なウエハであってよい。基板は、その前の製造ステップからその上に既に堆積された異なる材料の1つ以上の層も有し得る。例えば、ウエハは、シリコン層(例えば結晶性、非晶質、多孔性など)、ケイ素含有層(例えばSiO2、SiN、SiON、SiCOHなど)、金属含有層(例えば銅、コバルト、ルテニウム、タングステン、インジウム、白金、パラジウム、ニッケル、ルテニウム、金など)、又はこれらの組合せを含み得る。さらに、基板は平坦であっても、又はパターン化されていてもよい。基板は、有機物でパターン化されたフォトレジスト膜であってもよい。基板は、MEMS、3D NAND、MIM、DRAM、又はFeRamデバイス用途で誘電体材料として使用される酸化物の層(例えばZrO2ベースの材料、HfO2ベースの材料、TiO2ベースの材料、希土類酸化物ベースの材料、三元酸化物ベースの材料など)、電極として使用される窒化物ベースの膜(例えばTaN、TiN、NbN)、又はCMOS系において将来シリコンに代わる有力な候補である金属含有若しくは金属合金ベースの膜(例えばInGaAs、InxOy(x=0.5~1.5、y=0.5~1.5)、InSnO(ITO)、InGaZnO(IGZO)、InN、InP、InAs、InSb、In2S3、又はIn(OH)3など)を含み得る。当業者は、本明細書で使用される「膜」又は「層」という用語が、表面上に配置されているか広がっている何らかの材料の厚さを指し、その表面はトレンチ又はラインであってよいことを認識するであろう。本明細書及び特許請求の範囲全体を通して、ウエハ及びその上の関連する層は、基板と呼ばれる。
【0020】
「パターンエッチング」又は「パターン化されたエッチング」という用語は、パターン化されたハードマスク層の下の、ケイ素含有膜のスタックなどの非平坦構造をエッチングすることを指す。
【0021】
本明細書で使用される場合、「エッチ」又は「エッチング」という用語は、エッチング化合物及び/又はプラズマを使用して、イオン衝撃、リモートプラズマ、又はエッチングガスと基板との間の化学気相反応によって材料を除去することを意味し、等方性エッチングプロセス及び/又は異方性エッチングプロセスを指す。等方性エッチングプロセスは、エッチング化合物と基板との間の化学反応を伴い、基板上の材料の一部が除去される。このタイプのエッチングプロセスには、ケミカルドライエッチング、気相ケミカルエッチング、サーマルドライエッチングなどが含まれる。等方性エッチングプロセスは、基板に横方向又は水平方向のエッチングプロファイルを生成する。等方性エッチングプロセスは、基板に予め形成されたアパーチャーの側壁にリセス又は水平方向のリセスを生成する。異方性エッチングプロセスには、イオン衝撃によって化学反応が垂直方向に加速され、その結果マスクされたフィーチャのエッジに沿って基板に対して直角に垂直な側壁が形成されるプラズマエッチングプロセス(すなわちドライエッチングプロセス)が含まれる(Manos and Flamm,Thermal etching an Introduction,Academic Press,Inc.1989 pp.12-13)。プラズマエッチングプロセスは、基板に垂直エッチングプロファイルを生成する。プラズマエッチングプロセスは、基板に、垂直ビア、アパーチャー、トレンチ、チャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサーホール、コンタクトホール、スリットエッチング、自己整合コンタクト、自己整合ビア、スーパービアなどを生成する。
【0022】
「マスク」という用語は、エッチングに抵抗する層を指す。マスク層は、エッチングされる層の上に配置することができる。マスク層は、ハードマスク層も指す。マスク層は、非晶質カーボン(a-C)層、ドープされたa-C層、フォトレジスト層、反射防止層、有機平坦化層、及びそれらの組合せであってよい。マスク層は、ポリSiなどのシリコン層、Ti、Al、Zr、Hfなどの金属酸化物、酸化物、及びそれらの組合せであってもよい。
【0023】
「アスペクト比」という用語は、トレンチの幅(又はアパーチャの直径)に対するトレンチ(又はアパーチャ)の高さの比率を意味する。
【0024】
「エッチング停止」という用語は、下の層を保護する、エッチングされる層の下の層を意味する。
【0025】
「デバイスチャネル」という用語は、実際のデバイスの一部である層を意味し、そしてそれに対するいずれのダメージもデバイス性能に影響するであろう。
【0026】
「選択性」という用語は、別の材料のエッチング速度に対する1つの材料のエッチング速度の比率を意味する。「選択性エッチング」又は「選択的エッチング」という用語は、別の材料よりも1つの材料をエッチングすることを意味するか、或いは換言すれば、2つの材料間のエッチング選択性が1:1より高いか、又はそれ未満であることを意味する。
【0027】
「ビア」、「アパーチャー」、「トレンチ」、及び「ホール」という用語は、互換的に使用される場合があり、一般的に層間絶縁体の開口部を意味する。
【0028】
「低バイアス電力」又は「低減されたバイアス電力」という用語は、ベースラインプロセスよりも低いバイアス電力を指す。
【0029】
本明細書で使用される「添加剤」という用語は、他のエッチング化合物に添加され、ボーイング、CD、楕円率などのプロファイル特性の改善のような、エッチングの特性をある程度改善する化合物又はガスを指す。
【0030】
本明細書で使用される「楕円率」という用語は、マスクの劣化を測定する方法を指し、エッチングの用途では、エッチングされたホールの楕円率は、単純化するために(短いホールの幅/長いホールの幅)*100%によって推定される;したがって、完全な円形の楕円率は100%として定義された。
【0031】
本明細書で使用される「NAND」という略語は、「Negated AND」又は「Not AND」ゲートを指し、「2D」という略語は、平坦基板上の2次元ゲート構造を指し、「3D」という略語は、ゲート構造が垂直方向にスタックされた3次元又は垂直ゲート構造を指す。
【0032】
本明細書で使用される「水銀プローブ」という用語は、電気的特性評価のためにサンプルに迅速且つ非破壊的に接触するための電気プローブデバイスを指す。水銀とサンプルの接触がオーム性(非整流)である場合、抵抗、漏れ電流、又は電流-電圧特性を測定するために電流-電圧計測器を使用することができる。抵抗はバルクサンプル又は薄膜で測定することができる。薄膜は、水銀と反応しない任意の材料から構成することができる。本明細書で使用される水銀プローブの水銀接点の直径は760umである。
【0033】
本明細書で使用される「導電率」という用語は、電気抵抗率の逆数であり、材料が電流を流す能力を表す。本明細書で使用される電気伝導率の単位は、ジーメンス毎センチメートル(S/cm)である。これは水銀プローブを使用して測定され、
【数1】
の解を使用して0.2MV/cmの電場での電流-電圧曲線から計算される。式中、σは導電率であり、Iは水銀プローブによって測定された電流であり、Tはポリマーの厚さであり、Aは水銀プローブの接触面積である。電場は、印加電圧をポリマーの厚さで割ったものとして定義される。例えば、
図10では、電場が0.2MV/cmである場合、測定された電流は1.92×10
-11Ampである。C4F8ポリマーの導電率は2.14×10
-9S/cmと計算される。
【0034】
本明細書で使用される「高導電性側壁パッシベーション層」という用語は、C4F8ポリマーの導電率を超える側壁パッシベーション層の電気伝導率を指し、2.14×10-9S/cmとして計算される。
【0035】
本明細書中、「膜」及び「層」という用語は、互換的に使用され得ることに留意されたい。膜は層に相当し得るか、又は層に関連し得ること、及び層が膜と呼ばれてもよいことが理解される。さらに、当業者は、「膜」又は「層」という用語が、本明細書で使用される場合、表面上に適用されたか、又は延展されたいくつかの材料の厚さを意味し、かつ表面が、ウエハ全体と同程度の大きいものからトレンチ又はライン程度の小さいものまでの範囲であり得ることを認識するであろう。
【0036】
なお、本明細書においては、「エッチング化合物」、「エッチャント」、「エッチングガス」、及び「プロセスガス」という用語は、エッチング化合物が室温且つ周囲圧力で気体状態にある場合には、互換的に使用され得る。エッチング化合物は、エッチングガス又はエッチャント又はプロセスガスに対応又は関連し得ること、及びエッチングガス又はエッチャント又はプロセスガスがエッチング化合物を指し得ることが理解される。
【0037】
本明細書中、元素周期表からの元素の標準的な略語が使用される。元素がこれらの略語によって示され得ることは理解されるべきである(例えば、Siはケイ素を意味し、Nは窒素を意味し、Oは酸素を意味し、Cは炭素を意味し、Hは水素を意味し、Fはフッ素を意味する、など)。
【0038】
Chemical Abstract Serviceによって割り当てられたユニークなCAS登録番号(すなわち、「CAS」)は、開示された特定の分子を識別するために提供される。
【0039】
SiN及びSiOなどのケイ素含有膜が、それらの適切な化学量論を示さずに明細書及び請求の範囲全体に列挙されることに留意されたい。ケイ素含有膜には、結晶質Si、ポリケイ素(p-Si若しくは多結晶質Si)又は非晶質ケイ素などの純粋なケイ素(Si)層;窒化ケイ素(SikNl)層;酸化ケイ素(SinOm)層;又はその混合物が含まれてよく、ここで、k、l、m及びnは、全てを含めて0.1~6の範囲である。好ましくは、窒化ケイ素は、k及びIがそれぞれ0.5~1.5の範囲であるSikNlである。より好ましくは、窒化ケイ素はSi3N4である。本明細書では、以下の説明におけるSiNは、SikNl含有層を表すために使用される場合がある。好ましくは、酸化ケイ素は、nが0.5~1.5の範囲であり、かつmが1.5~3.5の範囲であるSinOmである。より好ましくは、酸化ケイ素はSiO2である。本明細書中、以下の明細書中のSiOは、SinOm含有層を表すために使用され得る。ケイ素含有膜は、SiOCHを有する、Applied Materials,Inc.によるBlack Diamond II又はIII材料などの、有機ベース又は酸化ケイ素ベースの低誘電率誘電体材料などの酸化ケイ素ベースの誘電体材料であることも可能である。ケイ素含有膜は、a、b、cが0.1~6の範囲であるSiaObNcを含み得る。ケイ素含有膜は、B、C、P、As、Ga、In、Sn、Sb、Bi及び/又はGeなど、及びそれらの組合せのドーパントも含み得る。
【0040】
R基を説明する文脈で使用される場合の「独立して」という用語は、対象のR基が、同じ又は異なる下付き文字又は上付き文字を有する他のR基に対して独立して選択されるのみならず、同じR基の任意の追加の種に対しても独立して選択されることを意味すると理解されるべきである。例えば、式MR1
x(NR2R3)(4-x)(Mは原子であり、xは2又は3である)において、2つ又は3つのR1基は、互いに、又はR2若しくはR3と同じであってもよいが、必ずしも同一である必要はない。さらに、別段の明記がない限り、R基の値は、異なる式で使用される場合に互いに独立であることが理解されるべきである。
【0041】
範囲は、本明細書において、約ある特定の値から、及び/又は約別の特定の値までとして表現される場合がある。そのような範囲が表現される場合、別の実施形態は、前記範囲内の全ての組合せとともに、ある特定の値から、及び/又は別の特定の値までのものであることが理解されるべきである。
【0042】
本明細書における「一実施形態」又は「ある実施形態」への言及は、実施形態に関連して記載されている特定の特徴、構造、又は特性が、本発明の少なくとも1つの実施形態に含まれ得ることを意味する。本明細書の様々な場所における「一実施形態では」という語句の出現は、必ずしも全てが同じ実施形態を指すわけではなく、また別の又は代替の実施形態は、必ずしも他の実施形態と相互に排他的ではない。同じことが「実装」という用語にも当てはまる。
【0043】
本発明の性質及び目的のさらなる理解のために、以下の詳細な説明は、添付の図面と関連して参照されるべきである。図面中、同様の要素は、同一又は類似の参照番号が与えられる。
【図面の簡単な説明】
【0044】
【
図1】平坦ウエハ上で測定された、添加剤CH
3Iあり又はなしでのC
4H
2F
6の導電率である。
【
図2】平坦ウエハ上で測定された、添加剤C
4F
9Iあり又はなしでのC
4H
2F
6の導電率である。
【
図3】平坦ウエハ上で測定された、添加剤SiH
2I
2あり又はなしでのC
4H
2F
6の導電率である。
【
図4】それぞれ添加剤CH
3Iあり又はなしでのC
4H
2F
6のER及び選択率の比較である。
【
図5】添加剤CH
3Iあり又はなしでのC
4H
2F
6の側壁のボーイングの比較である。
【
図6】添加剤CH
3Iあり又はなしでの楕円率の比較である。
【
図7】7000Wのバイアス電力での、CH
3I添加剤あり又はなしのC
4H
2F
6の限界寸法(CD)の比較である。
【
図8】5600Wのバイアス電力での、CH
3I添加剤あり又はなしのC
4H
2F
6のCDの比較である。
【
図9】4200Wのバイアス電力での、CH
3I添加剤あり又はなしのC
4H
2F
6のCDの比較である。
【
図10】様々なポリマーの化学組成及びポリマーの電気伝導率である。
【発明を実施するための形態】
【0045】
高アスペクト比(HAR)プラズマエッチングプロセスにおいて、Si、C、及び/又はヨウ素元素をドーピングすることにより、添加剤としてエッチングガスを使用して側壁上に高導電性側壁パッシベーション層を形成する方法が開示される。開示された方法は、Si、C、及び/又はヨウ素元素をドーピングすることによって高導電性側壁パッシベーション層を形成するために、HARプラズマエッチングプロセスにおいて添加剤又は添加剤化学物質を使用する。高導電性側壁パッシベーション層はポリマーパッシベーション層であってよい。ポリマーパッシベーション層の導電状態により、側壁に沿った電荷の蓄積が減少し、電荷をブリードオフすることによってホールなどのHAR構造のツイスティングが防止され、バイアス電力が低いレベル~ない状態での限界寸法(CD)変動の適切な制御が確保される。添加剤を利用することにより、バイアス電力は、添加剤の使用なしの場合と比較して少なくとも約10%低くなる場合があり、さらにはバイアス電力が不要になる。
【0046】
側壁電荷が最小限に抑えられると、反応性イオンがHARトレンチの底部に到達するのに必要なプラズマバイアス電力が少なくなるため、開示された方法は、低バイアスエネルギープラズマエッチングとして考えることもできる。加えて、開示された添加剤を含むエッチングガス又は処理ガスは、洗浄が困難な元素を含まず、このことにより反応チャンバーの汚染も最小限に抑えられ、ツールのメンテナンス/ダウンタイムも減らされる。
【0047】
開示された方法は、ハイドロフルオロカーボンエッチャントガス及び添加剤ガスを使用して、所定の時間、低減されたバイアス電力下で、パターン化されたウエハ又は基板をプラズマエッチングすることに関する。所定時間は、プラズマを活性化する前にチャンバー内の圧力及びガス流を安定させるために、0秒から1000秒の範囲とすることができる。
【0048】
開示された添加剤又は添加剤化学物質は、次の式を有するSi、C、及び/又はヨウ素の元素を含む:
CR1R2R3I、
SiR1R2R3I、
SiR1R2IxF(2-x)、
SiRIyF(3-y)、
SiIzF(4-z)、又は
CnF(2n+1)I
(式中、x=1~2であり;y=1~3であり;z=1~4であり;R、R1、R2、及びR3は、それぞれ独立して、H、D(重水素)、C1~C10の直鎖、分岐、又は環状の、飽和又は不飽和の、芳香族、複素環式の、部分的に又は完全にフッ素化された、置換又は無置換のアルキル基から選択される)。R1とR2、R2とR3、又はR1とR3は連結して環状基を形成していてもよい。
【0049】
上の開示された添加剤CR
1R
2R
3Iは以下を含み得る。
【化9】
【0050】
上の開示された添加剤SiR
1R
2R
3Iは以下を含み得る。
【化10】
【0051】
上の開示された添加剤SiR
1R
2I
xF
(2-x)は以下を含み得る。
【化11】
【0052】
上の開示された添加剤SiRI
yF
(3-y)は以下を含み得る。
【化12】
【0053】
上の開示された添加剤SiI
zF
(4-z)は以下を含み得る。
【化13】
【0054】
開示された添加剤C
nF
(2n+1)Iは以下を含み得る。
【化14】
【0055】
Si、C、及び/又はヨウ素の元素を含む例示的な開示された添加剤を表1に列挙する。これらの分子は市販されており、或いは当該技術分野で公知の方法によって合成することができる。それらの構造式、CAS番号、及び沸点を表に示す。Si、C、及び/又はヨウ素の元素を含有する開示された添加剤には、それらの異性体も含まれ得る。
【0056】
【0057】
【0058】
エッチングガスには高い揮発性が好ましいため、添加剤化学物質にも高い揮発性が必要とされる。上述したように、小さなアルキル基が添加剤のケイ素上の置換基として使用され、これにより開示された添加剤は高い揮発性を有する。アルキル置換基を使用することによってもたらされるもう1つの利点は、不十分な炭素脱離基の生成により側壁のパッシベーションに炭素が組み込まれる可能性が高まることである。側壁のパッシベーションに芳香族基を含めることで導電性が向上させることができるため、ケイ素上で芳香族置換基を使用することも新しい分子で提案されている。芳香族環のエレクトロニクスを導電性が変化させ得るため、芳香族基上の置換も興味深い。しかしながら、当業者であれば、低揮発性のエッチング材料も使用できることを理解するであろう。低揮発性エッチング材料は、低揮発性エッチング材料とエッチングツールに接続するガスラインとが入っている容器又はシリンダーを加熱すること、不活性ガスが液体の低揮発性エッチング材料を通して吹き込まれるバブラー法を使用することなどを含む、低揮発性エッチング材料の供給源を加熱して揮発性を高めるなど、様々な方法によって使用することができる。
【0059】
開示された添加剤は、高アスペクト比のホール/トレンチの側壁に形成されるパッシベーション層の特性の調整に適している。側壁のパッシベーションと下方へのエッチングは同時に起こる。パッシベーション層は、プラズマエッチングガス中の炭素源、エッチングガスと曝露される材料との間の反応、又はエッチングプロセスからの副生成物の再堆積に由来し得る。エッチャントへの添加剤は、導電性元素及び/又は化学結合を導入することによって側壁パッシベーションの化学組成に大きく影響し、それによって側壁パッシベーションの導電率にプラスの影響を与える。プラズマエッチングの過程で、構造の底部の電位は正に帯電する一方で、側壁は負に帯電するため、構造内に望ましくない局所的な電場が形成される。局所的な電場に沿った電位差よりも大きなエネルギーを持つ高エネルギーイオンのみが底部に到達することができる。側壁のパッシベーションの導電率が増加するのに伴い、側壁の電荷は急速に消散する。必要なバイアス電力はベースラインプロセスを下回る。
【0060】
開示されたエッチングガスは、フルオロカーボン/ハイドロフルオロカーボンであってよい。例示的な開示されたフルオロカーボン/ハイドロフルオロカーボンとしては、CF4、CH3F、C2F6、C3F8、C2HF5、C5F8、C6F6、C4F6、C4F8、C1~C5の飽和若しくは不飽和の直鎖、分岐、環状のハイドロフルオロカーボン、例えばC4H2F6、CHF3、CH2F2、又はそれらの組合せが挙げられる。
【0061】
開示されたフルオロカーボン/ハイドロフルオロカーボンは、酸化ケイ素(SiO)、窒化ケイ素(SiN)、純シリコン(Si)(結晶Siなど)、ポリシリコン(p-Si又は多結晶Si);非晶質シリコン、低誘電率SiCOH、SiOCN、SiC、SiON、及びSiaObHcCdNe(a>0;b、c、d、e≧0);金属含有膜(例えば銅、コバルト、ルテニウム、タングステン、インジウム、白金、パラジウム、ニッケル、ルテニウム、金など)などの層を含むケイ素含有膜のエッチングに適している。ケイ素含有膜は、SiOとSiNの交互の層(ONON)、SiOとp-Siの交互の層(OPOP)を含んでいてもよい。ケイ素含有膜は、O及び/又はNを含む。ケイ素含有膜は、B、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントも含み得る。
【0062】
開示されたフルオロカーボン/ハイドロフルオロカーボン及び添加剤は、95%v/vより高い純度で、好ましくは、99.99%v/vより高い純度で、より好ましくは、99.999%v/vより高い純度で提供される。開示されたフルオロカーボン/ハイドロフルオロカーボン及び添加剤は、5体積%未満の微量ガス不純物を含有し、微量ガス不純物中には、体積で150ppm未満のN2及び/又はH2O及び/又はCO2などの不純物ガスが含まれる。好ましくは、プラズマエッチングガス中の含水量は、重量で20ppm未満である。精製された生成物は、蒸留、及び/又は4Åモレキュラーシーブなどの適切な吸着剤を通してガス又は液体を通過させることによって製造され得る。
【0063】
開示されたフルオロカーボン/ハイドロフルオロカーボン及び添加剤は、10%v/v未満、好ましくは1%v/v未満、より好ましくは、0.1%v/v未満、さらにより好ましくは0.01%v/v未満のいずれかのその異性体を含有し、これは、異性体を除去するためのガス又は液体の蒸留によって精製され得、且つより良好なプロセス繰返し性を提供し得る。
【0064】
開示されたフルオロカーボン/ハイドロフルオロカーボンは、ほとんどの用途でエッチングされる構造の底部に位置する金属層である埋め込み型ランディング層又は材料からケイ素含有層を選択的にエッチングする。開示されたフルオロカーボン/ハイドロフルオロカーボンは、金属ランディング層をエッチングしない。埋め込み型ランディング層は、エッチング停止層又は拡散バリア層であってもよい。金属ランディング層の材料は、3D NAND構造のタングステン金属ワールドライン、及び/又はW、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag若しくはそれらの組合せなどの別の金属、及び/又は金属若しくは金属酸化物若しくは窒化物層などのエッチング停止層(AlO、WO、HfO、TiO、TaO、InO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SnN又はそれらの組合せなど)であってよい。
【0065】
開示されたフルオロカーボン/ハイドロフルオロカーボンは、基材上にケイ素含有膜をプラズマエッチングするために使用され得る。開示されたプラズマエッチング法は、NAND又は3D NANDゲートなどの半導体デバイス、或いはフィン型電界効果トランジスタ(fin-shaped field-effect transistor)(FinFET)、ゲートオールアラウンド(GAA)FET、ナノワイヤFET、ナノシートFET、フォークシートFET、相補型FET(CFET)、バルク相補型金属酸化物半導体(バルクCMOS)、MOSFET、完全空乏シリコンオンインシュレータ(FD-SOI)構造などのフラッシュ又はDRAMメモリ又はトランジスタの製造において有用であり得る。開示されたヨウ素含有エッチング化合物は、異なるフロントエンド(front end of the line)(FEOL)及びバックエンド(back end of the line)(BEOL)エッチング用途などの他の領域の用途において有用であり得る。さらに、開示されたヨウ素含有エッチング化合物は、基材上のロジックにメモリを相互連結させるため、3D Si貫通電極(through silicon via)(TSV)エッチング用途においてSiをエッチングするために、及びMEMS用途において使用され得る。
【0066】
開示されたエッチング法は、その中に配置された基材を有する反応チャンバーを提供することを含む。反応チャンバーは、限定されないが、反応性イオンエッチング(RIE)、単一又は複数周波数RF源によるCCP、誘導結合プラズマ(ICP)、又はマイクロ波プラズマ反応器、或いは選択的にケイ素含有膜の一部を除去することが可能であるか、又は活性種を生成することが可能である他の種類のエッチングシステムなどのその中でエッチング法が実行されるデバイス中のいずれかのエンクロージャ又はチャンバーであり得る。当業者は、異なるプラズマ反応チャンバー設計によって異なる電子温度制御が提供されることを認識するであろう。適切な商業的に入手可能なプラズマ反応チャンバーとしては、限定されないが、eMAX(商標)の商標で販売されているApplied Materials磁気強化反応性イオンエッチャー、又は2300(登録商標)Flex(商標)の商標名で販売されているLam Research Dual CCP反応性イオンエッチャー誘電体エッチング製品系統、又はAdvanced Micro-Fabrication Equipment Inc.China(AMEC)Primo SSC HD-RIEエッチャーが含まれる。それらにおけるRF電力は、プラズマ特性を制御し、それによって、エッチング性能(選択性及びダメージ)をさらに改善するためにパルスであってもよい。
【0067】
反応チャンバーは、1つ又は1つより多くの基材を含有し得る。例えば、反応チャンバーは、25.4mm~450mmの直径を有する1~200のケイ素ウエハを含有し得る。基材は、半導体、光起電、フラットパネル又はLCD-TFTデバイス製造において使用されるいずれかの適切な基材であってよい。適切な基材の例としては、ケイ素、シリカ、ガラス、Ge、SiGe、GeSn、InGaAs、GaSb、InP又はGaAsウエハなどのウエハが含まれる。ウエハは、ケイ素含有膜又は層を含む、以前の製造ステップからのその上の複数の膜又は層を有するであろう。層はパターン化されていても、又はされていなくてもよい。適切な層の例としては、限定されないが、シリコン(非晶質シリコン、p-Si、結晶シリコン、これらのいずれもB、C、P、As、Ga、In、Sn、Sb、Bi及び/又はGeによってさらにp-ドープ又はn-ドープされていてもよい)、シリカ、窒化ケイ素、酸化ケイ素、オキシ窒化ケイ素、SiaObHcCdNe(式中、a>0であり;b、c、d、e≧0である)、Ge、SiGe、GeSn、InGaAs、GaSb、InP;ドーパントを含む又は含まない非晶質炭素、反射防止コーティング、フォトレジスト材料、金属酸化物、例えばAlO、TiO、HfO、ZrO、SnO、TaO、又は金属窒化物層、例えばAlN、ZrN、SnN、HfN、窒化チタン、窒化タンタルなど、又はそれらの組合せなどのマスク層材料;窒化シリコン、ポリシリコン、結晶シリコン、炭化ケイ素、SiON、SiCN又はそれらの組合せ、デバイスチャネル材料、例えば結晶シリコン、エピタキシャルシリコン、ドープされたシリコン、SiaObHcCdNe(式中、a>0であり;b、c、d、e≧0である)又はそれらの組合せなどのエッチング停止層材料;が挙げられる。a-C(非晶質カーボン)は、PE-CVDプロセスを使用して堆積されたカーボン膜である。組成は主に炭素であり、若干の水素成分を含む。ドープされたa-Cは、堆積プロセス中にドーパントが追加的に堆積される非晶質カーボン膜である。ドーパントには、ホウ素、ジルコニウム、アルミニウム、チタン、タングステンが含まれ得る。炭素膜は、PE-CVDプロセスとは対照的に、スピンオンプロセスを使用して堆積することもできる。酸化ケイ素層は、有機ベースか、又は酸化ケイ素ベースの低誘電率誘電体材料(例えば、多孔性SiCOH膜)などの誘電体材料を形成し得る。模範的な低誘電率誘電体材料は、商標名Black Diamond II又はIIIでApplied Materialsによって販売される。さらに、タングステン又は貴金属(例えば、白金、パラジウム、ロジウム又は金)を含む層が使用されてもよい。さらに、ケイ素含有膜の例は、SiaObHcCdNe(式中、a>0;b、c、d、e≧0)であり得る。明細書及び請求の範囲全体で、ウエハ及びそのいずれかの関連層は基材と記載される。
【0068】
開示されたエッチング方法は、基板をチャンバー内に配置した後、開示されたフルオロカーボン/ハイドロフルオロカーボンをチャンバー内に導入する前に、反応チャンバーを高真空までポンプで排気することを含む。高真空は0.01mTorr~10mTorrの範囲とすることができる。
【0069】
不活性ガスもプラズマを受けるために反応チャンバー中に導入される。不活性ガスは、He、Ar、Xe、Kr、Ne、N2、He又はそれらの組合せであり得る。エッチングガス及び不活性ガスは、不活性ガスが、得られた混合物の約0.01%v/v~約99.9%v/vを構成するように、チャンバーへの導入の前に混合されてもよい。代わりに、不活性ガスは連続的にチャンバーに導入され得るが、エッチングガスは断続的にチャンバーに導入される。
【0070】
開示されたエッチングガスの蒸気及び不活性ガスをプラズマによって活性化し、活性化エッチングガスを生成する。プラズマによってエッチングガスはラジカル型(すなわち、活性化エッチングガス)へと分解する。プラズマは、RF又はDC電力を適用することによって発生させてもよい。プラズマは、約25W~約100,000Wの範囲のRF電力によって発生させてもよい。プラズマは、遠位で、又は反応器自体の中で発生させてもよい。プラズマは、両電極において適用されたRFによって、デュアルCCP又はICPモードで発生させてもよい。プラズマのRF周波数は、100KHz~1GHzの範囲であり得る。異なる周波数における異なるRF源を組み合わせて、そして同一電極において適用されてもよい。分子断片化及び基材における反応を制御するため、さらにプラズマRFパルスを使用してもよい。当業者は、そのようなプラズマ処理のために適切な方法及び装置を認識するであろう。
【0071】
四重極質量分析装置(QMS)、光学発光分光器、FTIR又は他のラジカル/イオン測定ツールによって、生成した種の種類及び数を決定するために、チャンバー排出物からの活性化エッチングガスを測定してもよい。必要であれば、エッチングガス及び/又は不活性ガスのフロー速度は、発生したラジカル種の数を増加又は減少させるように調整されてもよい。
【0072】
開示されたエッチングガス及び添加剤は、反応チャンバー中への導入の前、又は反応チャンバー中のいずれかで、他のガス又は共反応剤と混合されてもよい。好ましくは、ガスは、混入ガスの均一な濃度を提供するために、チャンバーへの導入の前に混合されてよい。
【0073】
別の選択肢において、ハイドロフルオロカーボンエッチング化合物及び添加剤の蒸気は、2種以上のガスが反応するか又は独立して送達するのがより容易である場合など、他のガスから独立してチャンバー中に導入されてよい。
【0074】
別の選択肢において、ハイドロフルオロカーボンエッチングガス及び添加剤ガスは、エッチングプロセスの間に使用される2種のみのガスである。
【0075】
別の代替形態では、ハイドロフルオロカーボンエッチングガス、添加剤ガス、及び不活性ガスが、エッチングプロセス中に使用されるただ3種のみのガスである。
【0076】
模範的な他のガス又は共反応剤としては、限定されないが、O2、O3、CO、CO2、NO、N2O、NO2、H2O、H2O2、COS、SO2及びそれらの組合せなどの酸化剤が含まれる。開示されたエッチングガス/添加剤及び酸化剤は、反応チャンバー中に導入される前に一緒に混合されてもよい。
【0077】
代わりに、酸化剤は連続的にチャンバーに導入され得、かつエッチングガスは断続的にチャンバーに導入される。酸化剤は、チャンバー中に導入される混合物の約0.01%v/v~約99.99%v/vを構成してもよい(99.99%v/vは、連続導入の選択肢に関して、ほぼ純粋な酸化剤の導入を表す)。
【0078】
開示されたハイドロフルオロカーボンエッチングガス及び添加剤ガスが使用され得る他の例示的なガスとしては、cC4F8、C4F8、cC5F8、C5F8、C4F6、CF4、CH3F、CF3H、CH2F2、C3HF7、C3F6、C3H2F6、C3H2F4、C3H3F5、C4HF7、C5HF9、C3F6、C3F8、CF3I、C2F3I、C2F5I、C3F7I、1-ヨードヘプタフルオロプロパン(1-C3F7I)、2-ヨードヘプタフルオロプロパン(2-C3F7I)、C3HF7、COS、FNO、F-C≡N、CS2、SO2、H2S、SF6、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C4H2F6)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C4H2F6)、ヘキサフルオロイソブテン(C4H2F6)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C4H2F6)、1,1,2,2,3-ペンタフルオロシクロブタン(C4H3F5)、1,1,2,2-テトラフルオロシクロブタン(C4H4F4)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C4H2F6)又はそれらの組合せが挙げられる。例えば、約1%v/v~約25%v/vの開示されたヨウ素含有エッチング化合物が使用され得、残りはC4F6又はcC4F8である。以下の実施例に示されるように、開示されたヨウ素含有エッチング化合物と従来のエッチングガスとの組合せによって、基材と、開示されたヨウ素含有エッチング化合物と関連してエッチングされる層との間のより高い選択性を維持しながら、増加したエッチング速度をもたらし得る。
【0079】
開示されたエッチング化合物及び/又は添加剤の蒸気は、基材及びケイ素含有膜を含有する反応チャンバー中に導入される。蒸気は、それぞれ約0.1sccm~約1slmの範囲の流量においてチャンバーに導入され得る。例えば、200mmのウエハサイズに関して、蒸気は約5sccm~約50sccmの範囲の流量においてチャンバーに導入され得る。代わりに、450mmのウエハサイズに関して、蒸気は約25sccm~約250sccmの範囲の流量においてチャンバーに導入され得る。当業者は、流量がツールによって変動し得ることを認識するであろう。開示されたエッチング化合物及び/又は添加剤の蒸気は、予め混合して、又は別々に反応チャンバーに導入することができる。
【0080】
開示されたエッチング化合物及び/又は添加剤は、そのままの形態で、又はエチルベンゼン、キシレン、メシチレン、デカン、若しくはドデカンなどの適切な溶媒とのブレンドのいずれかで供給され得る。開示された化合物及び添加剤は、溶媒中に様々な濃度で存在し得る。開示された化合物及び添加剤の蒸気形態は、直接蒸発又はバブリングなどの従来の蒸発ステップを介して、開示された化合物及び添加剤のそのままの又はブレンドされた溶液を蒸発させることによって生成することができる。そのままの、又はブレンドされた開示された化合物及び添加剤は、それを反応器中に導入する前にそれを蒸発させる蒸発器へと液体状態で供給され得る。代わりに、そのままの、又はブレンドされた開示された化合物及び添加剤は、開示された化合物及び添加剤を含有する容器中にキャリアガスを通過させることによって、又は開示された化合物及び添加剤中にキャリアガスをバブリングすることによって蒸発され得る。キャリアガスとしては、限定されないが、Ar、He、N2、Xe、Kr、Ne、及びそれらの混合物が含まれ挙げられ得る。その後、キャリアガス及び開示された化合物及び添加剤が蒸気として反応器中に導入される。
【0081】
必要であれば、開示された化合物及び添加剤が液体相となり、且つ十分な蒸気圧を有することができる温度まで、開示された化合物及び添加剤が入っている容器を加熱してもよい。容器は、例えば、約0℃~約150℃の範囲の温度に維持されてもよい。バブラーからエッチングツールまでのラインも、容器の温度以上の温度に維持され得る。当業者は、容器の温度が、蒸発させる開示された化合物及び添加剤の量を制御するために公知の様式で調整され得ることを認識している。
【0082】
開示されたハイドロフルオロカーボンエッチングガス及び添加剤ガスの蒸気並びに追加のエッチングガスは、反応チャンバーに導入される前に混合され得る。追加のエッチングガスは、チャンバーに導入される混合物の約0.01%v/v~約99.99%v/vを占めることができる。
【0083】
開示されたエッチングガスの蒸気と、不活性ガスや共反応物質などの追加のガスは、プラズマによって活性化されて、活性化されたエッチングガスを生成する。プラズマによってエッチングガスはラジカル形態又はイオン(すなわち活性化エッチングガス)へと分解する。プラズマは、RF又はDC電力を印加することによって発生させてもよい。プラズマは、デカップルドプラズマエッチング反応器内で約25W~約100,000Wの範囲のRFソース電力によって発生させることができる。プラズマは、遠位で、又は反応器自体の中で発生させてもよい。プラズマは、限定されないが、両電極において印加されたRFによって、デュアルCCP又はICPモードで発生させてもよい。プラズマのRF周波数は、100KHz~1GHzの範囲であり得る。異なる周波数における異なるRF源を組み合わせて、そして同一電極において適用されてもよい。分子断片化及び基板における反応を制御するために、プラズマRFパルスをさらにバイアス電力として使用してもよい。当業者は、そのようなプラズマ処理のために適切な方法及び装置を認識するであろう。
【0084】
開示された添加剤はハイドロフルオロカーボン又はフルオロカーボンと共に使用されるため、添加剤なしのエッチングと比較してバイアス電力が低くなり得る。開示された方法では、添加剤を利用することにより、以下の実施例から、バイアス電力は、添加剤を使用しない場合よりも少なくとも約10%低くなり得る。
【0085】
高アスペクト比のパターン化された構造上に高導電性側壁パッシベーション層を形成する開示された方法は、i)基板を含む反応器にエッチャントの蒸気を導入すること;ii)ソース電力を印加することにより、反応器内でエッチャントからイオンプラズマを形成すること;iii)基板上のパターン化されたマスク層によって覆われていない基板の部分が選択的にエッチング除去され、高アスペクト比のパターン化された構造が形成されるように、低減されたバイアス電力を印加することによってイオンを基板に向かって拡散させること;並びにiv)高アスペクト比のパターン化された構造の側壁上に高導電性側壁パッシベーション層が形成されるまで、所定の時間プロセスを実行し続けてから、ソース電力と低バイアス電力をオフにすること;を含む。
【0086】
ここで、基板は、その上に配置された膜と、膜上に配置されたパターン化されたマスク層とを有する。エッチャントは、ハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物とを含む。エッチャントは、共反応物質及び/又は不活性ガスも含み得る。エッチャントが反応器に導入された後、エッチャントは平衡に到達するまで放置される。低減されたバイアス電力は、エッチャントへの添加剤の添加なしよりも少なくとも10%低くなり得る。所定時間は1秒~1000秒の範囲である。ハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物の比率は、側壁面の新たに形成された部分が不動態化され、それ以上のエッチングから保護されるように選択される。反応器に導入されるハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物との比率は、流量によって1:99から99:1まで変化し得る。
【0087】
反応器にエッチャントを導入する前に、反応器は0.001mTorr~100mTorrの範囲の高真空までポンプで真空引きされ、エッチングプロセスの後、反応器は不活性ガスによってパージされ得る。
【0088】
反応チャンバーの温度は、基板ホルダーの温度を制御することによって、又は反応器壁の温度を制御することによって制御することができる。基板を加熱するために使用される装置は当該技術分野で公知である。反応器壁は、特に基板温度が壁の温度よりも高いシャワーヘッド反応器が使用される場合、壁又は反応器チャンバーでの凝縮を防ぐのに十分な温度まで加熱される。反応器壁を加熱することができる非限定的な例示的な温度範囲には、約-100℃(LN温度)~約500℃、好ましくは約20℃~約150℃、より好ましくは20℃~約110℃の範囲が含まれる。
【0089】
反応チャンバー内の圧力は、パターン化されたマスク層によって覆われていない基板の部分のエッチャント又はプロセスガスに適した条件に維持される。ここで、エッチャント又はプロセスガスは、ハイドロフルオロカーボン又はフルオロカーボンのエッチングガス、添加剤、共反応物質などを含み得る。例えば、反応器内の圧力は、約1mTorr~約100Torr、好ましくは約1mTorr~約50Torr、より好ましくは約1mTorr~約10Torr、さらにより好ましくは約1mTorr~約50mTorrに保持され得る。
【0090】
エッチング条件は、エッチングプロセス中に変化し得る。例えば、ガス流量、プラズマ出力、圧力、温度などのパラメータは、ホール又はトレンチの底部に近いエッチングの終了部分と比較して、エッチングの開始部分の間に高くても低くてもよい。或いは、ポリマーの堆積速度を低下又は向上させるなど、性能を改善するために、エッチングの異なる時点で異なるエッチングガスが添加されてもよい。
【0091】
開示されたエッチング方法は、マスク層、フォトレジスト、エッチストップ層及びデバイスチャネル材料に対して高い選択率を提供し得、且つDRAM及び3D NAND構造などの1:1~200:1の範囲のアスペクト比を有するものなどのHAR構造並びにコンタクトエッチング用途におけるプロファイル歪みを提供し得ない。或いは、1:1~20:1の範囲のアスペクト比、及び21:1~200:1の範囲のアスペクト比である。開示されたエッチング方法は、1:1~200:1のアスペクト比を有するHARパターン化構造のエッチングに適している。或いは、開示されたエッチング方法は、約1:1~約20:1のアスペクト比、約21:1~約200:1の間のアスペクト比、約1:1~約60:1のアスペクト比、又は約61:1~約200:1のアスペクト比を有するHARパターン化構造のエッチングに適している。
【実施例】
【0092】
次の非限定的な実施例は、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は包括的であるように意図されず、かつ本明細書に記載される本発明の範囲を制限するように意図されない。
【0093】
以下の実施例では、市販のLAMツール4520XLe200mm(CCPデュアル周波数プラズマ)を用いて、或いは市販のAMEC300mmPrimo SSC HD-RIEエッチャーを用いて実験を行った。再現性を証明するために、各エッチング試験を少なくとも3回繰り返した。3回の測定の平均の標準偏差は、チャート中のエラーバーとして示されている。その後、ポリマーの組成をX線光電子分光法(XPS)によって調べた。
【0094】
実施例1:ポリマーの電気伝導率の測定
図1~
図3は、それぞれ平坦ウエハ上で測定された、添加剤CH
3I、C
4F
9I、又はSiH
2I
2あり又はなしでのC
4H
2F
6の導電率である。電流(I)-電圧(V)は水銀プローブを使用して測定した。接触抵抗を改善するためにウエハの裏面に脱イオン水を一滴加えた。これは低い電圧における測定ノイズの低減に役立ち得る。同じ電場強度の下では、ハイドロフルオロカーボンにヨウ素分子を添加すると、ポリマーを介して測定電流が増加し、絶縁破壊電圧が低くなる。添加剤ありでのC
4H
2F
6の導電率は、添加剤がないC
4H
2F
6の導電率と比較して増加する。
図1~
図3の電流差を参照のこと。表1に示されているように、導電率は0.2MV/cmの電場で計算され、0.2MV/cmの電場での導電率の増加は>10%であった。
【0095】
【0096】
実施例2:CH3Iを添加剤として使用したONONホールパターンエッチング
CH3Iは、平坦薄膜上での有望な性能(a-Cマスクに対するより高い選択率及びポリマー導電率の増加)のため、パターン化されたウエハ又は基板上のONON(すなわちSiO/SiNの交互の層)ホールパターンエッチング用のC4H2F6を含むエッチングレシピに添加された。パターン化されたウエハは、上にホールパターン化された非晶質カーボン(a-C)マスク層が堆積されたONON層を有する。エッチングレシピはO2も含む場合がある。
【0097】
予備スクリーニングのために4つの条件、30/10sccm、35/5sccm、40/5sccm、及び40/10sccmのC4H2F6/CH3I流量を試験した。30/10sccmと35/5sccmは同じ総ガス流量である。CH3Iは非常に重合性が高いため、CH3I流量が10sccm以上の場合にエッチストップがSEM画像で観察された。40/5sccmの条件ではエッチング選択率の改善が示されるものの、さらなるエッチングレシピの調整が必要である。SEM条件はフローの通りである。Accel.電圧:5.0kV;エミッション電流:20μA;倍率:×30.0k。
【0098】
CH
3Iは非常に重合性が高く、パターン化されたマスク層を詰まらせる可能性があり、ONONエッチング組成物に添加される流量が10sccm以上ではさらにエッチストップを引き起こす可能性がある。CH
3Iをエッチングレシピに追加すると、O
2流量のプロセスウインドウが68sccmから74~76sccmにシフトする。最適化されたCH
3Iレシピの場合:ONONエッチング速度(ER):510nm/分(CH
3Iなし、測定されたONON ERは516nm/分である);ONON/a-C選択率:11.2~12(CH
3Iなし、ONON/a-C選択率は11.4~12である)。
図4~
図9は、それぞれ添加剤CH
3Iあり又はなしでのC
4H
2F
6のバイアス電力の違いによるER、選択率、側壁のボーイング、楕円率、限界寸法(CD)の比較である。全体として、CH
3Iありのレシピは、CH
3Iなしのレシピと比較して、バイアス電力への依存性が低いことを示している(ONONエッチング速度、選択率、ボーイング、楕円率、プロファイルCD)。
【0099】
より具体的には、
図6に示されているように、7000Wのバイアス電力では、レシピにCH
3Iを加えてもa-Cマスクホールの有意な楕円率の変化は示されず、測定された楕円率は、CH
3Iなしのレシピでは93%、CH
3Iありのレシピでは94%であった。5600Wのバイアス電力では、CH
3Iなしのレシピではバイアス電力の低下に伴ってa-Cマスクプロファイルが劣化する一方で、CH
3Iありのレシピでは影響があまり観察されなかった。4200Wのバイアス電力では、CH
3Iありのレシピでは、ER、選択率、a-Cマスクの楕円率、及び傾斜角などのエッチング性能の向上が示されている。表2にエッチング性能をまとめた。
【0100】
【0101】
まとめると、添加剤CH3Iを用いると、HARフィーチャのエッチフロント及び側壁上の表面パッシベーション(別名ポリマー層)が改善され、パッシベーションはプラズマエッチング条件(RF電力、プロセス時間など)の変動に応じて変化する。さらに、ハイドロフルオロカーボンエッチングガスに添加剤としてCH3Iを添加すると、エッチング速度、選択率、楕円率、プロファイルCDなどのエッチング性能を損なうことなく、バイアス電力が40%減少する。
【0102】
実施例3:ポリマーの化学組成及びポリマーの電気伝導率
基板:ポリマーのみのI-V特性を得るために、SiO2基板の代わりに、低抵抗率のSi基板(0.02Ω・cm未満)をポリマー堆積に使用した。水銀プローブを使用したI-V測定を行い易くするために、Si基板を1インチ×1インチのクーポンへと切断した。
【0103】
ポリマー堆積:C4F8、C4H2F6、C4F9I、C4F8+C4F9I、及びC4H2F6+C4F9Iに対して同じ堆積プロセス条件を使用した;堆積したポリマーの厚さをエリプソメーターで測定した;イオンブロック領域上のポリマーについては、シールドクーポンの端から1mm離れた位置で厚さを測定した。
【0104】
ラムツール実験条件:RFソース電力:750W;バイアス電力:1500W;Ar/エッチングガス(又はガス混合物)/O2:250/15/0;エッチング時間:30秒。
【0105】
図10は、様々なポリマー化学組成及びポリマーの電気伝導率である。電流(I)~電圧(V)の測定値は実施例1のものと同じであった。示されているように、同じ電場強度の下で、ハイドロフルオロカーボンへのヨウ素分子添加剤は、ポリマーを介してより高い測定電流及びより低い絶縁破壊電圧を誘導する。様々なポリマー及びヨウ素分子添加剤の0.2MV/cmにおける導電率は以下の通りである:C
4F
9I>C
4H
2F
6+C
4F
9I>C
4F
8+C
4F
9I>C
4H
2F
6>C
4F
8。C-C:C-Fx/C-I比が高いポリマーほど、絶縁破壊電圧が高く、電気的強度が高い。C-F
x結合がポリマーの導電性に寄与していると考えられる。C-C結合を多く含むポリマーは、C-F
xを多く含むポリマーよりも導電性が低い。
【0106】
表3は、C-C:C-Fx/C-I(xは整数である)の結合濃度比を示している。C-C:C-Fx/C-Iの結合濃度比は、低い方から高い方にC4F9I<C4F8+C4F9I<C4F8<C4H2F6+C4F9I<C4H2F6である。C-C結合は非極性の共有結合であり;C-Fx/C-I結合は極性の共有結合である。導電率は0.2MV/cmの電場で計算され、0.2MV/cmの電場における導電率の増加は>10%であった。
【0107】
【0108】
様々な電場における異なる伝導機構のため、ポリマーの化学組成と電気伝導率がどのように相互に関連しているかを示すことは困難であるが、一般に、ポリマーにヨウ素を添加すると導電性が高くなり、その結果、実施例2に示されているように、エッチング速度、選択率、楕円率、プロファイルCDなどのエッチング性能を損なうことなしに、添加剤を使用しない場合と比較してバイアス電力が少なくとも約10%低くなり、さらにはバイアス電力が不要になる。
【0109】
本発明の性質を説明するために本明細書で説明及び図示されたパーツの詳細、材料、ステップ、及び配置における多くの追加の変更が、添付の特許請求の範囲に記載されている本発明の原理及び範囲内で当業者によって行われ得ることは理解されるであろう。したがって、本発明は、上で示した実施例及び/又は添付の図面における具体的な実施形態に限定されることを意図するものではない。
【0110】
本発明の実施形態が示されて、説明されているが、本発明の精神及び教示から逸脱することなく、当業者によって修正され得る。本明細書に記載の実施形態は、単に模範的なものであり、限定するものではない。組成物及び方法の多くの変形及び修正は可能であり、かつそれらは本発明の範囲内である。したがって、保護の範囲は、本明細書に記載された実施形態に限定されないが、請求の範囲の対象の全ての同等物を含む請求の範囲によってのみ限定される。