IP Force 特許公報掲載プロジェクト 2022.1.31 β版

知財求人 - 知財ポータルサイト「IP Force」

▶ エーエスエムエル ネザーランズ ビー.ブイ.の特許一覧

特開2024-125314人工ニューラルネットワークを使用する動き制御
<>
  • 特開-人工ニューラルネットワークを使用する動き制御 図1
  • 特開-人工ニューラルネットワークを使用する動き制御 図2
  • 特開-人工ニューラルネットワークを使用する動き制御 図3
  • 特開-人工ニューラルネットワークを使用する動き制御 図4
  • 特開-人工ニューラルネットワークを使用する動き制御 図5
  • 特開-人工ニューラルネットワークを使用する動き制御 図6
  • 特開-人工ニューラルネットワークを使用する動き制御 図7
  • 特開-人工ニューラルネットワークを使用する動き制御 図8
  • 特開-人工ニューラルネットワークを使用する動き制御 図9
  • 特開-人工ニューラルネットワークを使用する動き制御 図10
< >
(19)【発行国】日本国特許庁(JP)
(12)【公報種別】公開特許公報(A)
(11)【公開番号】P2024125314
(43)【公開日】2024-09-18
(54)【発明の名称】人工ニューラルネットワークを使用する動き制御
(51)【国際特許分類】
   G05B 13/02 20060101AFI20240910BHJP
   G03F 7/20 20060101ALI20240910BHJP
   H01L 21/66 20060101ALI20240910BHJP
   G06N 3/02 20060101ALI20240910BHJP
【FI】
G05B13/02 L
G03F7/20 501
H01L21/66 J
G06N3/02
【審査請求】有
【請求項の数】12
【出願形態】OL
【外国語出願】
(21)【出願番号】P 2024092991
(22)【出願日】2024-06-07
(62)【分割の表示】P 2023501074の分割
【原出願日】2021-06-17
(31)【優先権主張番号】63/049,719
(32)【優先日】2020-07-09
(33)【優先権主張国・地域又は機関】US
(71)【出願人】
【識別番号】504151804
【氏名又は名称】エーエスエムエル ネザーランズ ビー.ブイ.
(74)【代理人】
【識別番号】100105924
【弁理士】
【氏名又は名称】森下 賢樹
(74)【代理人】
【識別番号】100134256
【弁理士】
【氏名又は名称】青木 武司
(72)【発明者】
【氏名】ファン ベルケル、コウス
(72)【発明者】
【氏名】ボルダー、ヨースト、ヨハン
(72)【発明者】
【氏名】ボースマ、スティン
(57)【要約】      (修正有)
【課題】本開示は、装置のコンポーネントの動きを少なくとも一つの所定の動きで制御するように構成されるプロセッサを記述する。
【解決手段】可変設定点および/または他のファクタは、装置の動きコンポーネントについての反復学習制御を限定してもよい。プロセッサは、可変設定点等の、および/または、可変設定点を含む制御入力を受け取るように構成される。制御入力は、コンポーネントについての少なくとも一つの所定の動きを示す。プロセッサは、制御入力に基づいて、コンポーネントについてのフィードフォワード出力を訓練された人工ニューラルネットワークで決定するように構成される。制御入力が訓練データセット外になるか否かによらず人工ニューラルネットワークが制御出力を決定できるように、人工ニューラルネットワークが訓練データで予め訓練される。プロセッサは、少なくとも制御出力に基づいてコンポーネントを制御する。
【選択図】図9
【特許請求の範囲】
【請求項1】
少なくとも一つの所定の動きに沿って動くように構成されるコンポーネントと、
プロセッサと、
を備える装置であって、
プロセッサは、
コンポーネントについての少なくとも一つの所定の動きを示す制御入力を受け取ることと、
制御入力に基づいて、コンポーネントについてフィードフォワード出力を訓練された人工ニューラルネットワークで決定することと、
少なくとも制御出力に基づいてコンポーネントを制御することと、
を機械読取可能命令によって実行するように構成され、
制御入力が訓練データセット外になるか否かによらず人工ニューラルネットワークが制御出力を決定できるように、人工ニューラルネットワークが訓練データで予め訓練される、
装置。
【請求項2】
制御入力は、予めフィルタリングされる、請求項1に記載の装置。
【請求項3】
動作設定点は、コンポーネントについての目標パラメータを変えることを備える、請求項2に記載の装置。
【請求項4】
半導体リソグラフィ装置、光学計測検査ツール、または電子ビーム検査ツールを備える、請求項1から3のいずれかに記載の装置。
【請求項5】
コンポーネントは、フォトリソグラフィのために動くように構成される、レチクルステージ、ウェーハステージ、ミラー、またはレンズ要素を備える、請求項1から4のいずれかに記載の装置。
【請求項6】
制御入力は、経時的なコンポーネントの位置、位置の三次以上の時間微分、速度、または加速度の少なくともいずれかを示すデジタル信号を備える、請求項1から5のいずれかに記載の装置。
【請求項7】
制御入力は、経時的なコンポーネントの位置および位置の一次以上の時間微分を示すデジタル信号を備える、請求項1から5のいずれかに記載の装置。
【請求項8】
訓練は、オフライン、オンライン、またはオフラインおよびオンラインの組合せで実行される、請求項7に記載の装置。
【請求項9】
訓練データは、複数のベンチマーク訓練制御入力および対応する訓練制御出力のペアを備える、請求項8に記載の装置。
【請求項10】
訓練制御出力は、複数の目標パラメータを変えることに対応する、コンポーネントについての既知の力、トルク、電流、および/または電圧のうち複数を備える、請求項9に記載の装置。
【請求項11】
訓練は、人工ニューラルネットワークについての一または複数の係数を生成する、請求項8から10のいずれかに記載の装置。
【請求項12】
動くように構成される物理的な構造と、
前記物理的な構造を動かすように構成されるモータと、
前記モータに接続される一または複数のプロセッサと、
を備え、
前記一または複数のプロセッサは、
前記物理的な構造についてのフィードフォワード信号を、第1制御入力に基づいて決定するように構成されるフィードフォワード制御を実行し、
前記フィードフォワード制御後の残余に対処する前記物理的な構造についての補償信号を、第2制御入力に基づいて決定するように構成される物理学に従ったニューラルネットワークを実行するように構成され、
前記物理学に従ったニューラルネットワークは、前記フィードフォワード制御と同時および相補的に動作し、
前記フィードフォワード信号および前記補償信号は、組み合わされて制御出力を形成するように構成され、
前記一または複数のプロセッサは、前記制御出力に基づいて前記物理的な構造を動かすように、前記モータを制御するように構成される、
動き制御システム。
【発明の詳細な説明】
【技術分野】
【0001】
[関連出願へのクロスリファレンス]
本出願は、2020年7月9日に出願された米国出願63/049,719の優先権を主張し、その全体が参照によって本書に援用される。
【0002】
[技術分野]
本開示は、装置、装置のコンポーネントを制御するための方法、および非一時的コンピュータ読取可能媒体に関する。
【背景技術】
【0003】
リソグラフィ装置は、所望のパターンを基板上に適用するように構成される装置である。リソグラフィ装置は、例えば、集積回路(IC)の製造に使用されうる。リソグラフィ装置は、例えば、パターニングデバイス(例えば、マスク)のパターン(しばしば「デザインレイアウト」または「デザイン」とも表される)を、基板(例えば、ウェーハ)上に提供される放射感応性材料(レジスト)の層上に投影してもよい。
【0004】
半導体製造プロセスが進歩を続けるにつれて、一般的に「ムーアの法則」と表されるトレンドに従って、数十年に亘って、デバイス当たりのトランジスタ等の機能要素の数が着実に増えながら、回路要素の寸法が継続的に低減されている。ムーアの法則に後れを取らないために、半導体業界はますます小さいフィーチャの生成を可能にする技術を追い求めている。基板上にパターンを投影するために、リソグラフィ装置は電磁放射を使用してもよい。この放射の波長は、基板上にパターン形成されるフィーチャの最小サイズを決定する。現在使用されている典型的な波長は、365nm(i線)、248nm、193nm、および13.5nmである。4nmと20nmの間の範囲内の波長、例えば6.7nmまたは13.5nm、を有する極端紫外(EUV)放射を使用するリソグラフィ装置は、例えば、193nmの波長を有する放射を使用するリソグラフィ装置より小さいフィーチャを基板上に形成するために使用されてもよい。
【0005】
低kリソグラフィが、リソグラフィ装置の古典的な解像度限界より小さい寸法でフィーチャを処理するために使用されてもよい。このようなプロセスでは、解像度の式が「CD = k1xλ/NA」と表されうる。ここで、λは使用される放射の波長であり、NAはリソグラフィ装置における投影光学要素の開口数であり、CDは「臨界寸法」(一般的にはプリントされる最小のフィーチャサイズであるが、この場合はハーフピッチである)であり、およびkは経験的な解像度ファクタである。一般的に、kが小さくなるほど、特定の電気的な機能およびパフォーマンスを実現するために回路設計者によって設計された形状および寸法に似たパターンを基板上に再現するのが難しくなる。
【0006】
これらの困難を克服するために、洗練された微調整ステップが、リソグラフィ投影装置および/またはデザインレイアウトに適用されてもよい。これらは、例えば、NAの最適化、カスタマイズされた照明スキーム、位相シフト型パターニングデバイスの使用、デザインレイアウトにおける光近接効果補正(OPC:「光およびプロセス補正」と表されることもある)等のデザインレイアウトの各種の最適化、または一般的に「解像度向上技術」(RET)として定められている他の方法を含むが、これらに限定されない。あるいは、リソグラフィ装置の安定性を制御するためのタイトな制御ループが、低kでのパターンの再現性を高めるために使用されてもよい。
【0007】
このように、リソグラフィプロセスでは、例えば、プロセス制御および検証のために、生成される構造の頻繁な測定を行うことが望ましい。このような測定を行うためのツールは、典型的に計測ツールまたは検査ツールと呼ばれる。このような測定を行うために、走査電子顕微鏡または各種の形態のスキャトロメータ計測ツールを含む異なるタイプの計測ツールが知られている。スキャトロメータは、スキャトロメータの対物系の瞳または瞳との共役面におけるセンサを有することによって(この場合の測定は、通常、瞳に基づく測定と表される)、または、像面または像面との面共役におけるセンサを有することによって(この場合の測定は、通常、像またはフィールドに基づく測定と表される)、リソグラフィプロセスのパラメータの測定を可能にする多目的な装置である。このようなスキャトロメータおよび関連する測定技術は、特許出願US2010/0328655、US2011/102753A1、US2012/0044470A、US2011/0249244、US2011/0026032またはEP1,628,164Aにおいて更に記述され、これらの全体が参照によって本書に援用される。前述のスキャトロメータは、軟x線および可視から近赤外の波長範囲からの光を使用する格子を測定してもよい。
【発明の概要】
【発明が解決しようとする課題】
【0008】
装置のコンポーネントの動きの反復学習制御(ILC)の成否は、コンポーネントについての反復動き制御設定点、反復擾乱力、制御下のシステムの時間差、および/または他のファクタに依存する。擾乱力は、装置の各種のコンポーネントの動き、装置において使用されるコンポーネントのタイプ、装置の位置、コンポーネントの損耗、および/または他の同様のファクタに起因する力でもよい。動き制御設定点は、装置のコンポーネントの動きを規定してもよい。半導体製造および/または他の応用では、設定点および擾乱力がしばしば反復的ではない。このことは、例えば、ILCシステムによって制御される場合であっても、半導体製造装置のコンポーネントの動きにおける不正確性をもたらしうる。
【0009】
そこで、本発明は、コンポーネントについての動作設定点および/または擾乱力が反復的でない場合に、装置コンポーネントの動きをより正確に制御するように構成されるシステムおよび方法を提供することを目的とする。
【課題を解決するための手段】
【0010】
従来のシステムと対照的に、本システムは、訓練された機械学習モデルからの出力に基づいて、装置のコンポーネントの動きを制御するように構成される。機械学習モデルは、例えば、人工ニューラルネットワークでもよい。システムは、可変動作設定点等の制御入力を受け取るように構成される。システムは、制御入力に基づいて、コンポーネントについての制御出力を訓練された機械学習モデルで決定するように構成される。制御入力が訓練データ外になるか否かによらず機械学習モデルが制御出力を決定できるように、機械学習モデルが訓練データで訓練される。そして、システムは、少なくとも制御出力に基づいてコンポーネントを制御する。他の利点に加えて、訓練された機械学習モデルからの制御出力に基づいてコンポーネントの動きを制御することは、従来のシステムと比べてコンポーネントの動きの正確性を向上させる(例えば、コンポーネントが動作設定点における所定の動きにより良く従える)。都合の良いことには、これらの特徴は、既存のコントローラに加えられてもよい。
【0011】
少なくとも以上に鑑み、発明の一実施形態によれば、少なくとも一つの所定の動きに沿って動くように構成されるコンポーネントと、機械読取可能命令によって構成されるプロセッサと、を備える装置が提供される。プロセッサは、制御入力を受け取るように構成される。制御入力は、コンポーネントについての少なくとも一つの所定の動きを示す。プロセッサは、制御入力に基づいて、コンポーネントについてのフィードフォワード出力を人工ニューラルネットワークで決定するように構成される。制御入力が訓練データセット外になるか否かによらず人工ニューラルネットワークが制御出力を決定できるように、人工ニューラルネットワークが訓練データで予め訓練される。プロセッサは、少なくとも制御出力に基づいてコンポーネントを制御するように構成される。
【0012】
いくつかの実施形態では、人工ニューラルネットワークが訓練データで予め訓練される。訓練は、オフライン、オンライン、またはオフラインおよびオンラインの組合せで実行されてもよい。訓練データは、複数のベンチマーク訓練制御入力および対応する訓練制御出力のペアを備えてもよい。いくつかの実施形態では、訓練制御入力は、コンポーネントについての複数の目標パラメータを変えることを備える。いくつかの実施形態では、訓練制御出力は、複数の目標パラメータを変えることに対応する、コンポーネントについての複数の既知の力、トルク、電流、および/または電圧を備える。訓練は、人工ニューラルネットワークについての一または複数の係数を生成してもよい。
【0013】
いくつかの実施形態では、制御入力は、(1)予めフィルタリングされる、および/または、(2)スキャニングおよび/またはステッピング動作設定点を備える。いくつかの実施形態では、制御入力は、経時的なコンポーネントの位置、位置の高次時間微分、速度、または加速度の少なくともいずれかを示すデジタル信号を備える。いくつかの実施形態では、制御入力は、経時的なコンポーネントの位置および位置の高次時間微分、例えば、速度または加速度の少なくともいずれかを示すデジタル信号を備える。いくつかの実施形態では、動作設定点は、コンポーネントについての目標パラメータを変えることを備える。
【0014】
いくつかの実施形態では、装置は、半導体リソグラフィ装置、光学計測検査ツール、電子ビーム検査ツール、および/または他のシステムを備える。
【0015】
いくつかの実施形態では、コンポーネントは、フォトリソグラフィのための一または複数の位置内および/または外に動くように構成される、レチクルステージ、ウェーハステージ、ミラー、レンズ要素、および/または他のコンポーネントを備える。
【0016】
いくつかの実施形態では、制御出力は、コンポーネントの動きを制御するために使用される力、トルク、電流、電圧、または電荷の少なくともいずれかを備える。
【0017】
発明の他の実施形態によれば、装置のコンポーネントを制御するための方法が提供される。方法は、制御入力を受け取ることを備える。制御入力は、コンポーネントの少なくとも一つの所定の動きを示す。方法は、制御入力に基づいて、コンポーネントについてのフィードフォワード出力を訓練された人工ニューラルネットワークで決定することを備える。制御入力が訓練データセット外になるか否かによらず人工ニューラルネットワークが制御出力を決定できるように、人工ニューラルネットワークが訓練データで予め訓練される。方法は、少なくとも制御出力に基づいてコンポーネントを制御することを備える。
【0018】
いくつかの実施形態では、人工ニューラルネットワークが訓練データで予め訓練される。訓練は、オフライン、オンライン、またはオフラインおよびオンラインの組合せで実行されてもよい。訓練データは、複数のベンチマーク訓練制御入力および対応する訓練制御出力のペアを備えてもよい。訓練制御入力は、コンポーネントについての複数の目標パラメータを変えることを備えてもよい。訓練制御出力は、複数の目標パラメータを変えることに対応する、コンポーネントについての複数の既知の力、トルク、電流、および/または電圧を備えてもよい。訓練は、人工ニューラルネットワークについての一または複数の係数を生成してもよい。
【0019】
いくつかの実施形態では、制御入力は、(1)予めフィルタリングされる、および/または、(2)ステッピングおよび/またはスキャニング動作設定点を備える。いくつかの実施形態では、制御入力は、経時的なコンポーネントの位置、位置の高次時間微分、速度、または加速度の少なくともいずれかを示すデジタル信号を備える。いくつかの実施形態では、制御入力は、経時的なコンポーネントの位置および位置の高次時間微分、例えば、速度または加速度の少なくともいずれかを示すデジタル信号を備える。いくつかの実施形態では、動作設定点は、コンポーネントについての目標パラメータを変えることを備える。
【0020】
いくつかの実施形態では、装置は、半導体リソグラフィ装置、光学計測検査ツール、電子ビーム検査ツール、および/または他のシステムを備える。
【0021】
いくつかの実施形態では、コンポーネントは、フォトリソグラフィのための一または複数の位置内および/または外に動くように構成される、レチクルステージ、ウェーハステージ、ミラー、レンズ要素、および/または他のコンポーネントを備える。
【0022】
いくつかの実施形態では、制御出力は、コンポーネントの動きを制御するために使用される力、トルク、電流、電圧、または電荷の少なくともいずれかを備える。
【0023】
発明の他の実施形態によれば、コンピュータによって実行された時に、前述されたいずれかの実施形態の処理を実施する命令が格納された非一時的コンピュータ読取可能媒体が提供される。
【0024】
発明の他の実施形態によれば、命令が格納された非一時的コンピュータ読取可能媒体が提供される。命令は、コンピュータによって実行された時に、装置のコンポーネントの少なくとも一つの所定の動きを示す制御入力を受け取ることと、制御入力に基づいて、コンポーネントについての制御出力を訓練された人工ニューラルネットワークで決定することと、少なくとも制御出力に基づいてコンポーネントを制御することと、をコンピュータに実行させる。制御入力が訓練データ外になるか否かによらず人工ニューラルネットワークが制御出力を決定できるように、人工ニューラルネットワークが訓練データで訓練される。
【0025】
いくつかの実施形態では、人工ニューラルネットワークが訓練データで予め訓練される。いくつかの実施形態では、訓練は、オフライン、オンライン、またはオフラインおよびオンラインの組合せで実行される。訓練データは、複数のベンチマーク訓練制御入力および対応する訓練制御出力のペアを備えてもよい。訓練制御入力は、コンポーネントについての複数の目標パラメータを変えることを備えてもよい。訓練制御出力は、複数の目標パラメータを変えることに対応する、コンポーネントについての複数の既知の力、トルク、電流、および/または電圧を備えてもよい。訓練は、人工ニューラルネットワークについての一または複数の係数を生成してもよい。
【0026】
いくつかの実施形態では、制御入力は、(1)予めフィルタリングされる、および/または、(2)ステッピングおよび/またはスキャニング動作設定点を備える。いくつかの実施形態では、制御入力は、経時的なコンポーネントの位置、高次時間微分、速度、または加速度の少なくともいずれかを示すデジタル信号を備える。いくつかの実施形態では、制御入力は、経時的なコンポーネントの位置および位置の高次時間微分、例えば、速度または加速度の少なくともいずれかを示すデジタル信号を備える。いくつかの実施形態では、設定点は、コンポーネントについての目標パラメータを変えることを備える。
【0027】
いくつかの実施形態では、装置は、半導体リソグラフィ装置、光学計測検査ツール、電子ビーム検査ツール、および/または他のシステムを備える。
【0028】
いくつかの実施形態では、コンポーネントは、フォトリソグラフィのための一または複数の位置内および/または外に動くように構成される、レチクルステージ、ウェーハステージ、ミラー、レンズ要素、および/または他のコンポーネントを備える。
【0029】
いくつかの実施形態では、制御出力は、コンポーネントの動きを制御するために使用される力、トルク、電流、電圧、または電荷の少なくともいずれかを備える。
【0030】
発明の他の実施形態によれば、コンピュータによって実行された時に、人工ニューラルネットワークを訓練データで訓練することをコンピュータに実行させる命令が格納された非一時的コンピュータ読取可能媒体が提供される。訓練データは、複数のベンチマーク訓練制御入力および対応する訓練制御出力のペアを備える。訓練された人工ニューラルネットワークは、制御入力に基づいて、装置のコンポーネントについての制御出力を決定するように構成される。制御入力が訓練データ外になるか否かによらず人工ニューラルネットワークが制御出力を決定できるように、人工ニューラルネットワークが訓練データで訓練される。制御入力は、コンポーネントの少なくとも一つの所定の動きを示す。装置は、少なくとも制御出力に基づいて制御されるように構成される。
【0031】
いくつかの実施形態では、訓練は、オフライン、オンライン、またはオフラインおよびオンラインの組合せである。いくつかの実施形態では、訓練制御入力は、コンポーネントについての複数の目標パラメータを変えることを備える。訓練制御出力は、複数の目標パラメータを変えることに対応する、コンポーネントについての複数の既知の力、トルク、電流、および/または電圧を備えてもよい。訓練は、人工ニューラルネットワークについての一または複数の係数を生成してもよい。
【図面の簡単な説明】
【0032】
発明の実施形態が、以下の付随する模式的な図面を参照して例のみによって記述される。
図1】リソグラフィ装置の模式的な概要を示す。
図2図1のリソグラフィ装置の一部の詳細図である。
図3】位置制御システムを模式的に示す。
図4】リソグラフィセルの概要を模式的に示す。
図5】半導体製造を最適化するための三つのキー技術の間の連携を表すホリスティックリソグラフィの模式図である。
図6】反復学習制御(ILC)モジュールを有する位置制御システムを模式的に示す。
図7】異なるILC学習された力およびモーメントをもたらす二つの動作設定点の例を示す。
図8】装置の動きコンポーネントを制御するための方法の例を示す。
図9】人工ニューラルネットワークを含む本システムの実施形態の例を示す。
図10】コンピュータシステム例のブロック図である。
【発明を実施するための形態】
【0033】
反復学習制御(ILC)は、装置の一または複数のコンポーネントの動きを制御する時に、反復「i」についての測定された制御エラーを、反復「i+1」についての補正されたフィードフォワード制御信号に変換することによって、反復的にフィードフォワード制御信号を学習する制御技術である。この技術は、ウェーハステージ等を含むコンポーネントについての多くの動き制御システムにおいて実証されており、典型的に、制御エラーの大きさを一桁(他のフィードフォワード制御システムに関しては更に大きく)低減する。
【0034】
但し、前述されたように、ILCの成否は、反復設定点、反復擾乱力、および/または他のファクタに依存する。擾乱力は、装置の各種のコンポーネントの動き、装置において使用されるコンポーネントのタイプ、装置の位置、コンポーネントの損耗、および/または他の同様のファクタに起因する力でもよい。例えば、擾乱力は、モータ整流、ケーブルスラブ、システムドリフト等に関してもよい。設定点は、装置のコンポーネントの所定の動きを記述してもよい。動作設定点は、コンポーネントの経時的な動きの位置、速度、加速度、および/または他のパラメータ(例えば、このようなパラメータの高次時間微分等)を規定してもよい。ILCの成否は、例えば、コンポーネントによる固定長動作、固定動作パターン、固定動作速度、固定加速度、反復的ジャーキングおよび/またはスナッピング動作等を含む、与えられたコンポーネントについての反復設定点軌道に依存してもよい。
【0035】
半導体製造および/または他の応用では、設定点および擾乱力がしばしば反復的ではない。半導体製造では、例えば、異なるフィールドサイズのサポート、ウェーハ加熱、レチクル加熱、および/またはミラー/レンズ加熱を補正するためのオーバーレイ補正についてのリアルタイムまたは近リアルタイムの変化、および/または他の理由等のいくつかの理由で、設定点が変動しうる。潜在的な設定点および/または擾乱力の変動の数は、理論上は無限である。実際は、潜在的な設定点および/または擾乱力の変動の数が大きすぎて、動き制御システム(例えば、ILCフィードフォワード信号を学習する)を個別に較正できない。例えば、このような較正の試行は、較正のための装置(例えば、リソグラフィの文脈ではスキャナ)の過剰な使用を要求し、製造目的での装置の可用性を著しく制限してしまう。
【0036】
従来のシステムと対照的に、本システムは、訓練された機械学習モデルからの出力に基づいて、装置のコンポーネントの動きを制御するように構成される。機械学習モデルは、例えば、人工ニューラルネットワークでもよい。システムは、可変動作設定点等の、および/または、可変動作設定点を含む制御入力を受け取るように構成される。システムは、制御入力に基づいて、コンポーネントについての制御出力を人工ニューラルネットワークで決定するように構成される。制御出力は、例えば、フィードフォワード信号でもよい。制御入力が訓練データ外になるか否かによらず人工ニューラルネットワークが制御出力を決定できるように、人工ニューラルネットワークが訓練データで訓練される。そして、システムは、少なくとも制御出力に基づいてコンポーネントの動きを制御する。
【0037】
他の利点に加えて、訓練された人工ニューラルネットワークからの制御出力に基づいてコンポーネントの動きを制御することは、従来のシステムと比べてコンポーネントの動きの正確性を向上させる(例えば、コンポーネントが動作設定点における所定の動きにより良く従える)。半導体製造では、このことは、高められたデバイス寸法の正確性、より高い歩留まり、低減されたプロセスセットアップ時間、より速いスループット、より正確なオーバーレイおよび/または他のプロセス制御測定結果をもたらし、および/または、他の効果を有する。
【0038】
簡潔な導入として、本書では、機械学習モデルを使用する動き制御が、集積回路および/または半導体製造の文脈において記述される。当業者は、機械学習モデルを使用する動き制御の原理を、装置の一または複数の動きコンポーネントの正確な制御が求められる他のオペレーションに適用してもよい。
【0039】
本文脈では、用語「放射」および「ビーム」は、紫外放射(例えば、365、248、193、157または126nmの波長を有するもの)およびEUV(極端紫外放射、例えば、約5-100nmの範囲内の波長を有するもの)を含む全てのタイプの電磁放射を包含するために使用される。本テキストにおいて使用される用語「レチクル」、「マスク」または「パターニングデバイス」は、基板のターゲット部分において生成されるパターンに対応するパターン形成された断面を入射ビームに付与するために使用されうる一般的なパターニングデバイスを表すものと広義に解釈されてもよい。用語「ライトバルブ」は、この文脈において使用されてもよい。古典的なマスク(透過型または反射型、バイナリ型、位相シフト型、ハイブリッド型等)の他に、このようなパターニングデバイスの他の例は、プログラマブルミラーアレイおよびプログラマブルLCDアレイを含む。
【0040】
図1は、リソグラフィ装置LAを模式的に示す。リソグラフィ装置LAは、放射ビームB(例えば、UV放射、DUV放射またはEUV放射)を調整するように構成される照明ステム(イルミネータとも表される)ILと、パターニングデバイス(例えば、マスク)MAを支持するように構成され、特定のパラメータに応じてパターニングデバイスMAを正確に配置するように構成される第1ポジショナPMに接続されるマスクサポート(例えば、マスクテーブル)MTと、基板(例えば、レジストによって覆われたウェーハ)Wを保持するように構成され、特定のパラメータに応じて基板サポートを正確に配置するように構成される第2ポジショナPWに接続される基板サポート(例えば、ウェーハテーブル)WTと、パターニングデバイスMAによって放射ビームBに形成されるパターンを、基板Wのターゲット部分C(例えば、一または複数のダイを備える)上に投影するように構成される投影システム(例えば、屈折投影レンズシステム)PSと、を含む。
【0041】
稼働中、照明システムILは、例えばビームデリバリシステムBDを介して、放射源SOからの放射ビームを受け取る。照明システムILは、放射の方向付け、形成、および/または制御のために、屈折型、反射型、磁気型、電磁気型、静電型、および/または他のタイプの光学コンポーネント、またはこれらの任意の組合せ等の各種のタイプの光学コンポーネントを含んでもよい。イルミネータILは、パターニングデバイスMAの面で所望の空間および角度強度分布を有する断面となるように、放射ビームBを調整するために使用されてもよい。
【0042】
ここで使用される用語「投影システム」PSは、使用中の露光放射、および/または、液浸液または真空の使用等の他のファクタにとって適切な、屈折型、反射型、反射屈折型、アナモルフィック型、磁気型、電磁気型および/または静電型の光学システム、またはこれらの任意の組合せを含む各種のタイプの投影システムを包含するものと広義に解釈されるべきである。ここでの用語「投影レンズ」の使用は、より一般的な用語「投影システム」PSと同義と解釈されてもよい。
【0043】
リソグラフィ装置LAは、投影システムPSおよび基板Wの間の空間を満たすように、基板の少なくとも一部が比較的高い屈折率を有する水等の液体によって覆われてもよいタイプでもよい(液浸リソグラフィとも表される)。液浸技術に関するより多くの情報は、参照によって本書に援用されるUS6952253において与えられる。
【0044】
リソグラフィ装置LAは、二つ以上の基板サポートWT(「デュアルステージ」とも呼ばれる)を有するタイプでもよい。このような「複数ステージ」装置では、基板サポートWTが、並行して使用されてもよい、および/または、他の基板サポートWT上の他の基板Wが、その上にパターンを露光するために使用されている間に、基板Wの後続の露光の準備ステップが一の基板サポートWT上に配置される基板W上で実行されてもよい。
【0045】
基板サポートWTに加えて、リソグラフィ装置LAは、測定ステージを備えてもよい。測定ステージは、センサおよび/またはクリーニングデバイスを保持するように設けられる。センサは、投影システムPSの特性または放射ビームBの特性を測定するように設けられてもよい。測定ステージは、複数のセンサを保持してもよい。クリーニングデバイスは、リソグラフィ装置の一部、例えば、投影システムPSの一部または液浸液を提供するシステムの一部をクリーニングするように設けられてもよい。測定ステージは、基板サポートWTが投影システムPSから離れている時に、投影システムPSの下方を動いてもよい。
【0046】
稼働時、放射ビームBは、マスクサポートMT上に保持されるマスクMA等のパターニングデバイス上に入射し、パターニングデバイスMA上に存在するパターン(デザインレイアウト)によってパターン形成される。パターニングデバイスMAを経た放射ビームBは、基板Wのターゲット部分C上にビームを集光する投影システムPSを通過する。第2ポジショナPWおよび位置測定システムIFによって、例えば、放射ビームBの経路上の集光および整列位置に異なるターゲット部分Cを配置できるように、基板サポートWTが正確に駆動されうる。同様に、第1ポジショナPMおよび他の位置センサ(図1では明示的に示されていない)が、放射ビームBの経路に関してパターニングデバイスMAを正確に配置するために使用されてもよい。パターニングデバイスMAおよび基板Wは、マスクアライメントマークM1、M2および基板アライメントマークP1、P2を使用して整列されてもよい。例示される基板アライメントマークP1、P2は専用のターゲット部分を占めるが、これらはターゲット部分の間の空間に配置されてもよい。ターゲット部分Cの間に配置される基板アライメントマークP1、P2は、スクライブラインアライメントマークとして知られている。
【0047】
発明を明確化するために、デカルト座標系が使用される。デカルト座標系は、三つの軸、すなわち、x軸、y軸およびz軸を有する。三つの軸のそれぞれは、他の二つの軸に直交する。x軸周りの回転はRx回転と表される。y軸周りの回転はRy回転と表される。z軸周りの回転はRz回転と表される。x軸およびy軸は水平面を定め、z軸は鉛直方向を向く。デカルト座標系は発明を限定する趣旨ではなく、明確化のためだけに使用される。代わりに、円筒座標系等の他の座標系が、発明を明確化するために使用されてもよい。例えば、z軸が水平面に沿った要素を有するように、デカルト座標系の方向は異なっていてもよい。
【0048】
図2は、図1のリソグラフィ装置LAの一部のより詳細な図である。リソグラフィ装置LAには、ベースフレームBF、バランスマスBM、計測フレームMFおよび振動隔離システムISが提供されてもよい。計測フレームMFは、投影システムPSを支持する。加えて、計測フレームMFは、位置測定システムPMSの一部を支持してもよい。計測フレームMFは、振動隔離システムISを介してベースフレームBFによって支持される。振動隔離システムISは、振動がベースフレームBFから計測フレームMFに伝わるのを防止または低減するように設けられる。
【0049】
第2ポジショナPWは、基板サポートWTおよびバランスマスBMの間に駆動力を提供することによって、基板サポートWTを加速するように設けられる。駆動力は、基板サポートWTを所望の方向に加速する。運動量保存の法則のために、駆動力はバランスマスBMにも、等しい大きさで所望の方向と逆方向に加えられる。典型的に、バランスマスBMの質量は、第2ポジショナPWおよび基板サポートWTの可動部の質量より有意に大きい。
【0050】
一実施形態では、第2ポジショナPWが、バランスマスBMによって支持される。例えば、第2ポジショナPWは、バランスマスBMの上方に基板サポートWTを浮かせるための平面モータを備える。他の実施形態では、第2ポジショナPWが、ベースフレームBFによって支持される。例えば、第2ポジショナPWは、リニアモータを備え、第2ポジショナPWは、ベースフレームBFの上方に基板サポートWTを浮かせるためのガスベアリング等のベアリングを備える。
【0051】
図3に模式的に示されるように、リソグラフィ装置LAは、位置制御システムPCSを備えてもよい。位置制御システムPCSは、設定点生成部SP、フィードフォワードコントローラFFおよびフィードバックコントローラFBを備える。位置制御システムPCSは、アクチュエータACTに対して駆動信号を提供する。アクチュエータACTは、第1ポジショナPMまたは第2ポジショナPW、および/またはリソグラフィ装置LAの他の可動コンポーネントのアクチュエータでもよい。例えば、アクチュエータACTは、基板サポートWTまたはマスクサポートMTを備えてもよいプラントPを駆動してもよい。プラントPの出力は、位置または速度または加速度または他の位置の高次時間微分等の位置量である。位置量は、位置測定システムPMSで測定される。位置測定システムPMSは、プラントPの位置量を表す位置信号である信号を生成する。設定点生成部SPは、プラントPの所望の位置量を表す参照信号である信号を生成する。例えば、参照信号は、基板サポートWTの所望の軌道を表す。参照信号および位置信号の間の差は、フィードバックコントローラFBに対する入力を構成する。入力に基づいて、フィードバックコントローラFBは、アクチュエータACTに対する駆動信号の少なくとも一部を提供する。参照信号は、フィードフォワードコントローラFFに対する入力を構成してもよい。入力に基づいて、フィードフォワードコントローラFFは、アクチュエータACTに対する駆動信号の少なくとも一部を提供する。フィードフォワードFFは、質量、剛性、共振モードおよび固有振動数等のプラントPの動力学的特性に関する情報を利用してもよい。図3に示されるシステムの追加的な詳細は後述される。
【0052】
図4に示されるように、リソグラフィ装置LAは、リソセルまたは(リソ)クラスタと表されることもある、しばしば基板Wに対して露光前および露光後のプロセスを実行するための装置も含むリソグラフィセルLCの一部を構成してもよい。従来、これらは、レジスト層を形成するためのスピンコータSC、露光されたレジストを現像するためのディベロッパDE、例えば基板W(レジスト層における溶媒等)の温度を調整するための冷却プレートCHおよびベークプレートBKを含む。基板ハンドラまたはロボットROは、入力/出力ポートI/O1、I/O2から基板Wをピックアップし、それらを異なる処理装置の間で移動させ、リソグラフィ装置LAのローディングベイLBに基板Wを搬送する。しばしばトラックとも総称されるリソセルにおけるデバイスは、典型的に、それ自体が監視制御システムSCSによって制御されてもよいトラック制御ユニットTCUの制御下にある。監視制御システムSCSは、リソグラフィ制御ユニットLACUを介して、リソグラフィ装置LAを制御してもよい。
【0053】
リソグラフィ装置LAによって露光される基板Wが一貫して正しく露光されるために、後続の層との間のオーバーレイエラー、線厚、臨界寸法(CD)等のパターン形成された構造の特性を測定するために基板を検査することが望ましい。この目的のために、検査ツール(不図示)がリソセルLCに含まれてもよい。エラーが検出された場合、特に、同じバッチまたはロットの他の基板Wが露光または処理される前に検査が行われた場合、例えば、後続の基板の露光に調整が加えられてもよいし、または、基板W上で実行される他の処理ステップに調整が加えられてもよい。
【0054】
計測装置と表されてもよい検査装置は、基板Wの特性を判定するために、特に、異なる基板Wの特性の変動または同じ基板Wの異なる層に関する特性の層毎の変動を判定するために使用される。あるいは、検査装置は、基板W上の欠陥を特定するように構成されてもよく、例えば、リソセルLCの一部でもよいし、リソグラフィ装置LAに一体化されてもよいし、スタンドアロンデバイスでもよい。検査装置は、潜像(露光後のレジスト層における像)、半潜像(露光後のベークステップPEB後のレジスト層における像)、現像されたレジスト像(レジストの露光または未露光部分が除去されている)、または、エッチングされた像(エッチング等のパターン転写ステップ後)についての特性を測定してもよい。
【0055】
典型的に、リソグラフィ装置LAにおけるパターン形成プロセスは、寸法形成および基板W上への構造の配置の高い正確性を要求する、最も重要なステップの一つである。この高い正確性を担保するため、図5に模式的に示されるように、いわゆる「ホリスティック」制御環境において三つのシステムが組み合わされてもよい。これらのシステムの一つは、計測ツールMT(第2システム)およびコンピュータシステムCL(第3システム)に(仮想的に)接続されるリソグラフィ装置LAである。このような「ホリスティック」環境のキーは、全体のプロセスウィンドウを改善し、リソグラフィ装置LAによって実行されるパターン形成がプロセスウィンドウ内に留まることを担保するためのタイトな制御ループを提供するために、これら三つのシステムの間の連携を最適化することである。プロセスウィンドウは、特定の製造プロセスが定められた結果(例えば、機能半導体デバイス)を産むプロセスパラメータ(例えば、ドーズ、フォーカス、オーバーレイ)の範囲を定める。典型的には、プロセスウィンドウ内であれば、リソグラフィプロセスまたはパターン形成プロセスにおけるプロセスパラメータの変動が許容される。
【0056】
コンピュータシステムCLは、パターン形成されるデザインレイアウト(の一部)を、どの解像度向上技術を使用すべきかを予測するために使用してもよいし、どのマスクレイアウトおよびリソグラフィ装置セッティングがパターン形成プロセスの最大の全体プロセスウィンドウを実現するかを判定するための計算リソグラフィシミュレーションおよび演算を実行するために使用してもよい(図5において、第1スケールSC1における双方向矢印によって示される)。典型的に、解像度向上技術は、リソグラフィ装置LAのパターン形成の可能性をマッチングするように設けられる。コンピュータシステムCLは、例えば理想的でない処理による欠陥が存在しうるか否かを予測するために、プロセスウィンドウ内のどこでリソグラフィ装置LAが現在稼働しているかを検出する(例えば、計測ツールMTからの入力を使用して)ために使用されてもよい(図5において、第2スケールSC2における「0」を指す矢印によって示される)。
【0057】
計測ツールMTは、正確なシミュレーションおよび予測を可能にするコンピュータシステムCLへの入力を提供してもよく、例えば、リソグラフィ装置LAの較正ステータスにおいてドリフトの可能性を特定するためにリソグラフィ装置LAへのフィードバックを提供してもよい(図5において、第3スケールSC3における複数の矢印によって示される)。
【0058】
図1図5を参照して前述されたように、リソグラフィ装置、計測ツールおよび/またはリソセルは、典型的に、参照または他のコンポーネントに対する標本、基板、マスクまたはセンサ配置を位置付けるために使用される複数のステージシステムを含む。これらの例は、マスクサポートMTおよび第1ポジショナPM、基板サポートWTおよび第2ポジショナPW、センサおよび/またはクリーニングデバイスを保持するように設けられる測定ステージ、および、例えば、走査電子顕微鏡または各種のスキャトロメータに対して基板Wが配置される検査ツールMTにおいて使用されるステージである。これらの装置は、レチクルステージ、ウェーハステージ、ミラー、レンズ要素、光源(例えば、駆動レーザ、EUV源等)、レチクルマスキングステージ、ウェーハトップクーラ、ウェーハおよびレチクルハンドラ、振動隔離システム、ステージトルク補償器、このようなコンポーネントを制御および/または含有するソフトウェアおよび/またはハードウェアモジュール、および/または他のコンポーネント等のいくつかの他の可動コンポーネントを含んでもよい。これらの例は、発明を限定する趣旨ではない。
【0059】
前述されたように、本システムは、訓練された機械学習モデルからの出力に基づいて、装置のコンポーネント(例えば、上記の段落において記述されたものの少なくともいずれか等)の動きを制御するように構成される。機械学習モデルは、例えば、人工ニューラルネットワークでもよい。システムは、可変動作設定点等および/または可変動作設定点を含む制御入力を受け取るように構成される。システムは、制御入力に基づいて、コンポーネントについての制御出力(例えば、フィードフォワード信号および/またはフィードフォワード信号の個別コンポーネント)を訓練された機械学習モデルで決定するように構成される。制御出力は、与えられた入力可変動作設定点に対応する可動コンポーネントについての、力、トルク、電流、電荷、電圧、および/または他の情報を備えてもよい。制御入力が訓練データ外になるか否かによらず機械学習モデルが制御出力を決定できるように、機械学習モデルが訓練データで訓練される。そして、システムは、少なくとも制御出力に基づいてコンポーネントを制御する。
【0060】
例えば、本機械学習モデル(例えば、一または複数の人工ニューラルネットワーク)は、限定された負担の少ない訓練(例えば、較正)がされるだけで、動作設定点を効果的に補間し、先の動作設定点からの外挿を容易にする。換言すれば、対応する制御入力についての別の制御出力が既知で、機械学習モデルを訓練するために使用される場合、当該機械学習モデルは、既知の制御入力(例えば、先の動作設定点)の間のどこかにある、または、既知の制御入力外のどこかにある対応する制御入力についての新しい制御出力を決定できる。
【0061】
本アプローチの概要は以下の通りである。リソグラフィ装置(一例に過ぎない)におけるステージの動きについての動作設定点(例えば、制御入力)の組を、予め定められた設定点空間内で(例えば、各種のリソグラフィのスキャン長、スキャン速度、加速度等について)訓練するために、ILCが適用されてもよい。学習されたフィードフォワード信号(与えられた可変動作設定点に対応するステージについての力、トルク、電流、電荷、電圧、および/または他の情報)は、それらに対応する設定点と共に記録および格納されてもよい。いくつかの実施形態では、図6に示されるシステムと同様および/または同一のシステムが、これらのオペレーションのために使用されてもよい。
【0062】
図6は、図3と同様であるが、ILCモジュール(図6においてILCと示される)が加えられている。図6は、図3に模式的に示されるような位置制御システムPCSに加えて、制御エラーCEおよびステージSTも例示する。前述されたように、位置制御システムPCSは、設定点生成部SP、フィードフォワードコントローラFFおよびフィードバックコントローラFBを備える。位置制御システムPCSは、アクチュエータACTに対して駆動信号を提供する。アクチュエータACTは、ステージSTが位置または速度または加速度(P/V/A)等の特定の位置量を有するように、ステージSTを駆動してもよい。位置量は、位置測定システムPMSで測定される。位置測定システムPMSは、ステージSTの位置量を表す位置信号である信号を生成する。設定点生成部SPは、ステージSTの所望の位置量を表す参照信号である信号を生成する。例えば、参照信号は、ステージSTの所望の軌道を表す。参照信号および位置信号の間の差(例えば、制御エラーCE)は、フィードバックコントローラFBに対する入力を構成する。入力に基づいて、フィードバックコントローラFBは、アクチュエータACTに対する駆動信号の少なくとも一部を提供する。参照信号は、フィードフォワードコントローラFFに対する入力を構成してもよい。入力に基づいて、フィードフォワードコントローラFFは、アクチュエータACTに対する駆動信号の少なくとも一部を提供する。フィードフォワードコントローラFFは、ステージSTの質量、剛性、共振モードおよび固有振動数等の動力学的特性に関する情報を利用してもよい。なお、スイッチSWは、完全なスキャンプロファイル時間トレース(例えば、リソグラフィ装置の文脈において)のために、ILCモジュールがオフラインで更新されてもよいことを示す。ILCモジュールは、今後のトライアルについての制御エラーの予測を最小化(または最適化)することによって(多くの異なる方法で行われうる)、フィードフォワード信号が決定されるように構成されてもよい。ここでのフィードフォワード信号は、自由変数である。
【0063】
図7は、半導体製造および/または他の応用において、動作設定点(例えば、ここで記述されるように制御入力)がしばしば反復的でないことを例示する。半導体製造では、例えば、異なるフィールドサイズのサポート、ウェーハ加熱、レチクル加熱、および/またはミラー/レンズ加熱を補正するためのオーバーレイ補正についてのリアルタイムまたは近リアルタイムの変化、および/または他の理由等のいくつかの理由で、設定点が変動しうる。潜在的な設定点および/または擾乱力の変動の数は、理論上は無限である。図7は、異なるILC学習された力およびモーメント(例えば、フィードフォワード信号の潜在的なコンポーネント)をもたらす二つの動作設定点の例を示す。これらおよび他の設定点および対応する学習された力およびモーメントは、前述された記録および格納される情報(後述されるように、結果的に、人工ニューラルネットワークを訓練するために使用される)に含まれてもよい。
【0064】
二つの異なる設定点SP1およびSP2が図7において示される。SP1およびSP2それぞれは、装置の動きコンポーネントについての経時的な所定の位置を備える。図7は、各設定点の下に示されるILC学習された力F1(Fy)、F2(Fz)、F3(Fy)、F4(Fz)、およびモーメントM1(Mx)、M2(Mx)も例示する。設定点が変わると(SP1対SP2)、リファレンス(最上行におけるy、z=0、Rx=0)に従う必要がある補償信号(Fy、Fz、Mx)は大きく変わる。
【0065】
本アプローチの概要に戻ると、人工ニューラルネットワークは、与えられた特定の設定点に対してフィードフォワード信号を再現するために、記録および格納された動作設定点および対応するフィードフォワード信号で訓練されてもよい。例えば、人工ニューラルネットワークへの入力は、時間の関数としての所定の位置、速度、加速度、ジャーク、および/または他のパラメータでもよい。人工ニューラルネットワークは、ILCで学習されたものを模擬するフィードフォワード力、トルク、および他のパラメータを出力してもよい。人工ニューラルネットワークは、(例えば、図6におけるILCモジュールを置き換えるフィードフォワードアドオンとして)実装されてもよく、人工ニューラルネットワークは、新しい動き制御設定点(ステージおよび/または他の装置のコンポーネントの所定の動き)について、リアルタイムおよび/または近リアルタイムで(例えば、10kHzより大きい周波数で)、新しいフィードフォワード信号を生成してもよい。
【0066】
図8は、装置の動きコンポーネントを制御するための方法800を例示する。方法800は、リソグラフィ装置の可動コンポーネント、光学および/または電子ビーム検査ツール、原子間力顕微鏡(AFM)に基づく検査ツール、および/または他のシステムと関連付けられてもよい。前述されたように、コンポーネントは、レチクルステージ、ウェーハステージ、ミラー、レンズ要素、光源(例えば、駆動レーザ、EUV源等)、レチクルマスキングステージ、ウェーハトップクーラ、ウェーハおよびレチクルハンドラ、振動隔離システム、ステージトルク補償器、このようなコンポーネントを含むソフトウェアおよび/またはハードウェアモジュール、および/または他のコンポーネントでもよい、および/または、を含んでもよい。
【0067】
方法800は、人工ニューラルネットワークを訓練すること802、可動コンポーネントについての制御入力を受け取ること804、制御出力を人工ニューラルネットワークで決定すること806、少なくとも制御出力に基づいて装置の動きコンポーネントを制御すること808、および/または他のオペレーション、を備える。いくつかの実施形態では、方法800が、例えば、半導体製造プロセス(または、その一部)のために実行される。いくつかの実施形態では、コンポーネントが、リソグラフィ、検査等のための一または複数の位置内および/または外に駆動されるように構成される。
【0068】
以下で提示される方法800のオペレーションは、例示のみを目的とする。いくつかの実施形態では、方法800が、記述されない一または複数の追加的なオペレーションと共に実現されてもよい、および/または、議論される一または複数のオペレーションを伴わずに実現されてもよい。例えば、方法800は、人工ニューラルネットワークを訓練することを要求しなくてもよい(例えば、人工ニューラルネットワークは、予め訓練されてもよい)。加えて、方法800のオペレーションが図8において示される順番および以下で記述される順番は、発明を限定する趣旨ではない。
【0069】
いくつかの実施形態では、方法800の一または複数の部分が、一または複数の処理デバイス(例えば、一または複数のプロセッサ)において実装されてもよい(例えば、シミュレーション、モデリング等によって)。一または複数の処理デバイスは、電子記憶媒体上に電子的に格納される命令に応じて、方法800のオペレーションの一部または全部を実行する一または複数のデバイスを含んでもよい。一または複数の処理デバイスは、例えば、方法800の一または複数のオペレーションの実行のために設計された、ハードウェア、ファームウェア、および/またはソフトウェアを通じて構成される一または複数のデバイスを含んでもよい。
【0070】
前述されたように、方法800は、人工ニューラルネットワークを訓練すること802を備える。例えば、人工ニューラルネットワークは、入力層、出力層、および一または複数の中間または隠れた層を有してもよい。いくつかの実施形態では、一または複数の人工ニューラルネットワークが、ディープニューラルネットワーク(例えば、入力および出力層の間に一または複数の中間または隠れた層を有するニューラルネットワーク)でもよい、および/または、を含んでもよい。
【0071】
一例として、一または複数の人工ニューラルネットワークは、ニューラルユニット(または人工ニューロン)の大きな集合に基づいてもよい。一または複数のニューラルネットワークは、生物の脳が働く(例えば、軸索によって接続される生物のニューロンの大きいクラスタを介して)態様を緩やかに模擬してもよい。人工ニューラルネットワークの各ニューラルユニットは、ニューラルネットワークの多くの他のニューラルユニットと接続されてもよい。このような接続は、接続されたニューラルユニットの活性化状態に対する影響を助長または抑制しうる。いくつかの実施形態では、個々のニューラルユニットが、全ての入力の値を組み合わせる合計機能を有してもよい。いくつかの実施形態では、各接続(またはニューラルユニット自体)が、信号が他のニューラルユニットに伝わるためには閾値を超えなければならないように閾値機能を有してもよい。これらのニューラルネットワークシステムは、明示的にプログラムされる代わりに自己学習および訓練してもよく、従来のコンピュータプログラムと比べて、問題解決の特定のエリアにおいて有意に優れた性能を発揮できる。いくつかの実施形態では、一または複数の人工ニューラルネットワークが、複数の層(例えば、信号経路がフロント層からバック層に及ぶ)を含んでもよい。いくつかの実施形態では、前方刺激が「フロント」ニューラルユニット上の重みおよび/またはバイアスをリセットするために使用される、人工ニューラルネットワークによるバックプロパゲーション技術が利用されてもよい。いくつかの実施形態では、接続がより無秩序および複雑な態様で相互作用して、一または複数のニューラルネットワークについての刺激および抑制が、より自由に流れてもよい。いくつかの実施形態では、一または複数の人工ニューラルネットワークの中間層が、一または複数の畳み込み層、一または複数の回帰層、および/または他の層を含む。非限定的な例として、人工ニューラルネットワークは、入力層、三つの隠れた層、および出力層の間に分布する10個のニューロンを有してもよい。このような人工ニューラルネットワークは、複数の次元における非線型性を捉えるための十分な自由度を有してもよく、典型的な演算システム(例えば、ラップトップ)上で10kHzより高いサンプリングレートでフィードフォワード信号を演算してもよい。なお、これは、専用のコードおよびハードウェアがあれば、より速くなりうる。
【0072】
一または複数のニューラルネットワークは、訓練データの組を使用して(例えば、ここで記述されるように)訓練されてもよい(すなわち、そのパラメータが決定されてもよい)。訓練データは、複数のベンチマーク訓練制御入力および対応する訓練制御出力のペアを備えてもよい。訓練データは、訓練サンプルの組を含んでもよい。各サンプルは、入力オブジェクト(しばしば、フィーチャベクトルと呼ばれてもよいベクトルとしてフォーマットされる)および所望の出力値(監視信号とも呼ばれる)を備えるペアでもよい。訓練アルゴリズムは、訓練データを分析し、訓練データに基づいて、人工ニューラルネットワークのパラメータ(例えば、一または複数の層の重み、バイアスおよび/または他のパラメータ)を調整することによって、人工ニューラルネットワークの振る舞いを調整する。例えば、{(x、y)、(x、y)、…、(x、y)}の形(xはi番目の例のフィーチャベクトルであり、yはその監視信号である)のN個の訓練サンプルの組が与えられると、訓練アルゴリズムは、ニューラルネットワーク「g:X→Y」(Xは入力空間であり、Yは出力空間である)を探索する。フィーチャベクトルは、いくつかのオブジェクト(例えば、動作設定点等の制御入力、フィードフォワード信号等の制御出力等)を表す数値フィーチャのn次元のベクトルである。これらのベクトルに関連するベクトル空間は、しばしばフィーチャまたは潜在空間と呼ばれる。訓練後のニューラルネットワークは、新しいサンプル(例えば、異なる動作設定点および/または他の制御入力)を使用して予測を行うために使用されてもよい。
【0073】
いくつかの実施形態では、訓練制御入力は、コンポーネントについての複数の目標パラメータを変えることを備える。目標パラメータを変えることは、例えば、動作設定点によって記述されてもよい。目標パラメータを変えることは、位置、位置の高次時間微分、速度、加速度、および/または他のパラメータを含んでもよい。いくつかの実施形態では、訓練制御入力が、例えば、経時的なコンポーネントの位置、位置の高次時間微分、速度、または加速度の少なくともいずれかを示すデジタル信号を備えてもよい。いくつかの実施形態では、訓練制御入力が、経時的なコンポーネントの位置および位置の高次時間微分、例えば、速度または加速度の少なくともいずれかを示すデジタル信号を備えてもよい。いくつかの実施形態では、訓練制御入力が、擾乱力(例えば、前述されたようなもの)および/または他の情報を含んでもよい。
【0074】
訓練制御出力は、例えば、既知のフィードフォワード信号を備えてもよい。これらは、複数の動作設定点(例えば、目標パラメータを変えること)に対応するコンポーネントについての、複数の既知の力、トルク、電流、電荷、電圧、および/または他の情報を含んでもよい。ベンチマーク訓練データの具体例は、例えば、反復学習制御データを備える制御入力および出力、マシンインループ最適化されたフィードフォワード信号、および/または他のデータを含んでもよい。ベンチマーク訓練データは、エラーデータ(例えば、コンポーネントの所定の位置/速度/加速度等および実際の位置/速度/加速度等の間の差を示すデータ)、および/または他の情報を含んでもよい。
【0075】
訓練された人工ニューラルネットワークは、制御入力に基づいて、コンポーネントについての制御出力を決定するように構成される。制御入力が訓練データ外になるか否かによらず人工ニューラルネットワークが制御出力を決定できるように、人工ニューラルネットワークが訓練データで訓練される。このことは、人工ニューラルネットワークが、例えば、既知の動き制御設定点および対応するフィードフォワード信号の間を補間できる、および/または、既知の動き制御設定点および対応するフィードフォワード信号を超えて外挿できることを意味する。
【0076】
いくつかの実施形態では、訓練は、オフライン、オンライン、またはオフラインおよびオンラインの組合せである。オフライン訓練は、コンポーネントおよび/または装置とは別に起こる手順を備えてもよい。このことは、人工ニューラルネットワークを訓練する間に、装置製造(例えば、半導体製造)を中断する必要がないことを意味する。オンライン訓練は、訓練ループ内の装置での訓練を備える。装置が訓練動作の実行に必要となるため、これは製造の中断を要求する。
【0077】
訓練は、人工ニューラルネットワークについての一または複数の係数を生成してもよい。一または複数の係数は、例えば、層および/または個別ニューロン重みおよび/またはバイアス、および/または他の係数を含んでもよい。これらの係数は、モデルの再訓練、ユーザによるマニュアル調整、および/または他のオペレーションに応じて、経時的に変わってもよい。
【0078】
なお、装置の単一の動きコンポーネントの文脈において人工ニューラルネットワークを訓練することが記述されるが、人工ニューラルネットワークは、一または複数の装置における複数の可動コンポーネント、および/または、一または複数のこのようなコンポーネントの間の複合作用を説明できるように訓練されてもよい。例えば、複合作用は、ここで記述される擾乱力を含んでもよい、および/または、もたらしてもよい。
【0079】
方法800は、可動コンポーネントについての制御入力を受け取ること804を備える。制御入力は、コンポーネントの少なくとも一つの所定の動きを示す。制御入力は、例えば、動作設定点でもよい。いくつかの実施形態では、制御入力は、ステッピングおよび/またはスキャニング(例えば、リソグラフィ装置について)動作設定点を備える。いくつかの実施形態では、動作設定点は、コンポーネントについての目標パラメータを変えることを備える。目標パラメータを変えることは、位置、位置の高次時間微分、速度、加速度、および/または他のパラメータでもよい。いくつかの実施形態では、制御入力は、例えば、経時的なコンポーネントの位置、位置の高次時間微分、速度、または加速度の少なくともいずれかを示すデジタル信号を備える。いくつかの実施形態では、制御入力は、経時的なコンポーネントの位置および位置の高次時間微分、例えば、速度または加速度の少なくともいずれかを示すデジタル信号を備える。いくつかの実施形態では、制御入力は、図7に示されるSP1および/またはSP2と同様および/または同一でもよい。例えば、制御入力は、コンポーネント(例えば、レチクルステージ)についての経時的な異なる位置を規定してもよい。制御入力は、三角波(SP1)、正弦波(SP2)、および/または任意の他のパターンに従って動きを規定してもよい。但し、少なくとも本システムおよび方法は人工ニューラルネットワーク(訓練に基づいて、補間および/または外挿できるもの)を利用するため、制御入力は訓練について使用された制御入力と同じである必要はない。有利なことには、制御入力は、訓練について使用された動作設定点内にある動作設定点(例えば、訓練について使用された動作設定点における対応するパラメータと異なるが、それについての値の範囲の限界を破らないパラメータを有するもの)でもよい、および/または、訓練について使用された動作設定点外の動作設定点(例えば、訓練について使用された動作設定点における対応するパラメータについての値の範囲の限界を破るパラメータを有するもの)でもよい。
【0080】
いくつかの実施形態では、制御入力は、予めフィルタリングされる。フィルタリングは、ローパス、ハイパス、バンドパス、および/または他のフィルタリングを含んでもよい。フィルタリングは、ニューラルネットワークが「アクティブ」となる周波数帯域幅を制限して、増幅器の飽和および/または他の影響を回避するために実行されてもよい。他の例として、三角関数(正弦、余弦)等の非線型解析関数が、ニューラルネットワークの入力および出力の間をより単純に関連付けるために適用されてもよい(例えば、影響が周波数において反復的であるか否かを知りたい場合、これによって訓練プロセスを短縮できる)。
【0081】
図8を参照して、方法800は、制御出力を人工ニューラルネットワークで決定すること806を備える。制御出力は、制御入力および/または他の情報に基づいて、訓練された人工ニューラルネットワークで決定される。制御出力は、例えば、フィードフォワード信号でもよい、および/または、フィードフォワード信号を含んでもよい。いくつかの実施形態では、前述されたように、制御出力は、コンポーネントの動きを制御するために使用される、力、トルク、電流、電圧、電荷および/または他の情報を備える。
【0082】
いくつかの実施形態では、制御出力が、力、トルク、電流、電圧、電荷、および/または図7に示されるようなF1~F4および/またはM1~M2と同様および/または同一の他の情報を含んでもよい。例えば、制御出力は、制御入力(例えば、動作設定点)に応じて、コンポーネント(例えば、レチクルステージ)についての経時的な異なる力(例えば、F1およびF2対F3およびF4)および/またはモーメント(M1対M2)等を示してもよい。また、少なくとも本システムおよび方法は人工ニューラルネットワーク(訓練に基づいて、補間および/または外挿できるもの)を利用するため、制御出力は訓練について使用された制御出力と同じである必要はない。有利なことには、制御出力は、訓練について使用されたフィードフォワード信号内にあるフィードフォワード信号でもよい、および/または、訓練について使用されたフィードフォワード信号外のフィードフォワード信号でもよい。
【0083】
図8に戻り、方法800は、少なくとも制御出力に基づいて、装置の動きコンポーネントを制御すること808を備える。可動コンポーネントを制御すること808は、フィードフォワード信号および/または他の電子信号を生成することを含んでもよい。可動コンポーネントを制御すること808は、フィードフォワード信号および/または他の電子信号を、可動コンポーネント(および/または可動コンポーネントを制御する一または複数のアクチュエータ)および/またはコンポーネントを含む装置全体に対して送信することを含んでもよい。コンポーネントの動きは、制御出力に対する追加的な情報に基づいて制御されてもよい。例えば、コンポーネントの動きは、フィードバック制御情報(例えば、図3および/または図6におけるFBを参照)、通常の物理学によって支配されるコンポーネントの動き(例えば、図3および/または図6におけるFFを参照)、および/または他の情報に基づいて制御されてもよい。好ましい実施形態では、全ての既知および通常物理学の情報が、フィードフォワード信号FFを介して正確にモデル化および制御される。
【0084】
非限定的な例として、図9は、人工ニューラルネットワークPMを含む本システムの実施形態を示す。図9は、本システムが、物理学に基づくフィードフォワード(マスおよびスナップフィードフォワード等)の後の(しばしば非線型の)残余にフォーカスする、データに基づくフィードフォワードのアドオンとして解釈されうることを例示する。これは、既存の制御方法に対する機械学習モデルに基づく制御の相補的な実装を可能にする。図9は、人工ニューラルネットワークPMが、ILCについて使用される構成と異なるが、それでも他のシステムコンポーネントに対する相補的なアドオンとして加えられてもよいことを例示する。ここで記述されるように、および、図9に示されるように、本システムのプロセッサ(以下の図10を参照)は、可変設定点SP等の、および/または、可変設定点SPを含む制御入力を受け取るように構成される。制御入力は、ステージST等のコンポーネントについての少なくとも一つの所定の動きを示す。プロセッサは、制御入力SPに基づいて、コンポーネントについての制御出力P/V/Aを人工ニューラルネットワークPMで決定するように構成される。制御入力SPが訓練データ外になるか否かによらず人工ニューラルネットワークPMが制御出力を決定できるように、人工ニューラルネットワークPMは訓練データで訓練される。プロセッサは、少なくとも制御出力に基づいてコンポーネントSTを制御する(アクチュエータACTを介して)。図9に示される例では、プロセッサは、(フィードバックコントローラFBからの)フィードバック情報およびフィードフォワードコントローラFFからの情報にも基づいて、コンポーネントSTを制御する。この例は、発明を限定する趣旨ではない。
【0085】
ここで記述されるように、制御入力(例えば、動作設定点)が訓練データ外になるか否かによらず、人工ニューラルネットワークはコンポーネントについての制御出力を決定できる。人工ニューラルネットワークは、効果的に補間および外挿できる。訓練データの動作設定点の間の動作設定点(例えば、リソグラフィ装置についての各種のスキャン速度、スキャン長、およびスキャン加速度を備える)は、人工ニューラルネットワークによって正確に補間される(ILCケース前に対して90%より高い)。本システムおよび方法によれば、動作設定点についての(スキャン)加速度を外挿すること(外挿された動作設定点を生成するための)が、やはり優れたパフォーマンス(例えば、75%以上の正確性)を与える。
【0086】
図10は、一実施形態に係るコンピュータシステムCSの一例のブロック図である。コンピュータシステムCSは、ここで開示される方法、フロー、または装置の実施を支援してもよい。コンピュータシステムCSは、バスBSまたは情報を通信するための他の通信メカニズム、およびバスBSと結合された情報を処理するためのプロセッサPRO(または複数のプロセッサ)を含む。コンピュータシステムCSは、バスBSに結合され、情報およびプロセッサPROによって実行される命令を格納するための、ランダムアクセスメモリ(RAM)または他の動的記憶デバイス等の主メモリMMも含む。主メモリMMは、例えば、プロセッサPROによって実行される命令の実行中の一時的な変数または他の中間情報を格納するために使用されてもよい。コンピュータシステムCSは、バスBSに結合され、プロセッサPROのための静的な情報および命令を格納するための、リードオンリーメモリ(ROM)ROMまたは他の静的記憶デバイスを含む。磁気ディスクまたは光学ディスク等のストレージデバイスSDが提供され、情報および命令を格納するためにバスBSに結合される。
【0087】
コンピュータシステムCSは、コンピュータのユーザに対して情報を表示するためのブラウン管(CRT)またはフラットパネルまたはタッチパネルディスプレイ等のディスプレイDSに、バスBSを介して結合されてもよい。アルファベットと数字の組合せおよび他のキーを含む入力デバイスIDは、情報およびコマンド選択をプロセッサPROに通信するためにバスBSに結合される。他のタイプのユーザ入力デバイスは、方向情報およびコマンド選択をプロセッサPROに通信し、ディスプレイDS上のカーソルの動きを制御するための、マウス、トラックボール、またはカーソル方向キー等のカーソルコントロールCCである。この入力デバイスは、典型的に二つの軸(第1軸(例えば、x)および第2軸(例えば、y))における二つの自由度を有し、デバイスが面内の位置を指定することを可能にする。タッチパネル(スクリーン)ディスプレイが、入力デバイスとして使用されてもよい。
【0088】
いくつかの実施形態では、ここで記述される一または複数の方法の一部が、主メモリMMに格納されている一または複数の命令の一または複数のシーケンスを実行するプロセッサPROに応じて、コンピュータシステムCSによって実行されてもよい。このような命令は、ストレージデバイスSD等の他のコンピュータ読取可能媒体から主メモリMMに読み出されてもよい。主メモリMMに格納されている命令のシーケンスの実行は、ここで記述される処理ステップをプロセッサPROに実行させる。マルチプロセッサ配置における一または複数のプロセッサが、主メモリMMに格納されている命令のシーケンスを実行するために利用されてもよい。いくつかの実施形態では、ハードウェア実装される回路が、ソフトウェア命令の代わりにまたはソフトウェア命令との組合せで使用されてもよい。このように、ここでの記述は、ハードウェア回路およびソフトウェアの特定の組合せに限定されない。
【0089】
ここで使用される用語「コンピュータ読取可能媒体」は、プロセッサPROに対して実行のために命令を提供することに関与する任意の媒体を表す。このような媒体は、不揮発性媒体、揮発性媒体、および伝送媒体を含むが、これらに限定されない多くの形態を取ってもよい。不揮発性媒体は、例えば、ストレージデバイスSD等の光学または磁気ディスクを含む。揮発性媒体は、主メモリMM等の動的メモリを含む。伝送媒体は、バスBSを構成する線を含む同軸ケーブル、銅線および光ファイバを含む。伝送媒体は、高周波(RF)および赤外線(IR)データ通信中に生成されるもの等の音響または光の波の形態も取りうる。コンピュータ読取可能媒体は、非一時的な、例えば、フロッピーディスク、フレキシブルディスク、ハードディスク、磁気テープ、任意の他の磁気媒体、CD-ROM、DVD、任意の他の光学媒体、パンチカード、紙テープ、穴のパターンを有する任意の他の物理媒体、RAM、PROM、およびEPROM、FLASH-EPROM、任意の他のメモリチップまたはカートリッジでもよい。非一時的コンピュータ読取可能媒体は、命令が記録されうる。命令は、コンピュータによって実行された時に、ここで記述される任意の特徴を実施できる。一時的コンピュータ読取可能媒体は、搬送波または他の伝播する電磁気信号を含みうる。
【0090】
各種の形態のコンピュータ読取可能媒体が、一または複数の命令の一または複数のシーケンスの、実行のためのプロセッサPROへの搬送に関与してもよい。例えば、命令は、最初、遠隔のコンピュータの磁気ディスク上に保持されていてもよい。遠隔のコンピュータは、その動的メモリに命令をロードし、モデムを使用する電話線上で命令を送信できる。コンピュータシステムCSに設けられるモデムは、電話線上でデータを受け取り、赤外線送信機を使用してデータを赤外線信号に変換できる。バスBSに結合された赤外線検出器は、赤外線信号で搬送されるデータを受け取り、データをバスBS上に置ける。バスBSはデータを主メモリMMに伝送し、そこからプロセッサPROが命令を取得および実行する。主メモリMMによって受け取られた命令は、オプションで、プロセッサPROによる実行前または実行後にストレージデバイスSD上に格納されてもよい。
【0091】
コンピュータシステムCSは、バスBSに結合された通信インターフェースCIを含んでもよい。通信インターフェースCIは、ローカルネットワークLANに接続されるネットワークリンクNDLに対する双方向データ通信結合を提供する。例えば、通信インターフェースCIは、対応するタイプの電話線に対するデータ通信接続を提供するISDN(Integrated Services Digital Network)カードまたはモデムでもよい。他の例として、通信インターフェースCIは、互換性のあるLANに対するデータ通信接続を提供するローカルエリアネットワーク(LAN)カードでもよい。無線リンクが実装されてもよい。任意のこのような実装では、通信インターフェースCIが、各種のタイプの情報を表すデジタルデータストリームを搬送する電気、電磁気または光信号を送受信する。
【0092】
ネットワークリンクNDLは、典型的に、一または複数のネットワークを通じた、他のデータデバイスへのデータ通信を提供する。例えば、ネットワークリンクNDLは、ローカルネットワークLANを通じたホストコンピュータHCに対する接続を提供してもよい。これは、一般的に「インターネット」INTと表される全世界パケットデータ通信ネットワークを通じて提供されるデータ通信サービスを含みうる。ローカルネットワークLAN(インターネット)は、デジタルデータストリームを搬送する電気、電磁気または光信号を使用する。コンピュータシステムCSとの間のデジタルデータを搬送する、各種のネットワークを通じた信号およびネットワークデータリンクNDL上のおよび通信インターフェースCIを通じた信号は、搬送波が搬送する情報の例示的な形態である。
【0093】
コンピュータシステムCSは、ネットワーク、ネットワークデータリンクNDL、および通信インターフェースCIを通じて、メッセージを送信でき、プログラムコードを含むデータを受信できる。インターネットの例では、ホストコンピュータHCが、インターネットINT、ネットワークデータリンクNDL、ローカルネットワークLANおよび通信インターフェースCIを通じて、アプリケーションプログラムのための要求されたコードを送信してもよい。一つのこのようにダウンロードされたアプリケーションは、例えば、ここで記述される方法の全部または一部を提供してもよい。受け取られたコードは、そのままプロセッサPROによって実行されてもよい、および/または、後の実行のためにストレージデバイスSD、または他の不揮発性ストレージに格納されてもよい。このように、コンピュータシステムCSは、搬送波の形でアプリケーションコードを取得できる。
【0094】
本テキストにおいて、ICの製造におけるリソグラフィ装置の使用についての具体的な参照がなされたかもしれないが、ここで記述されるリソグラフィ装置は他の用途を有してもよいと理解されるべきである。可能性のある他の用途は、集積光学システム、磁気ドメインメモリのためのガイダンスおよび検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造を含む。
【0095】
本テキストにおいて、リソグラフィ装置の文脈における発明の実施形態についての具体的な参照がなされたかもしれないが、発明の実施形態は他の装置で使用されてもよい。発明の実施形態は、マスク検査装置、計測装置、またはウェーハ(または他の基板)またはマスク(または他のパターニングデバイス)等のオブジェクトを測定または処理する任意の装置の一部を構成してもよい。これらの装置は、一般的にリソグラフィツールと表されてもよい。このようなリソグラフィツールは、真空条件または大気(非真空)条件を使用してもよい。
【0096】
以上において、光学リソグラフィの文脈における発明の実施形態の使用についての具体的な参照がなされたかもしれないが、発明は、文脈が許す限り、光学リソグラフィに限定されず、インプリントリソグラフィ等の他の用途に使用されてもよいと理解される。
【0097】
文脈が許す限り、発明の実施形態は、ハードウェア、ファームウェア、ソフトウェア、またはこれらの任意の組合せで実装されてもよい。発明の実施形態は、一または複数のプロセッサによって読み出されて実行されてもよい機械読取可能媒体上に格納された命令として実装されてもよい。ここで記述されるように、機械読取可能媒体は、機械(例えば、演算デバイス)によって読み取り可能な形態で、情報を格納または送信するための任意のメカニズムを含んでもよい。例えば、機械読取可能媒体は、リードオンリーメモリ(ROM)、ランダムアクセスメモリ(RAM)、磁気記憶媒体、光学記憶媒体、フラッシュメモリデバイス、電気、光、音響または他の形態の伝送信号(例えば 搬送波、赤外線信号、デジタル信号等)、その他を含んでもよい。更に、ファームウェア、ソフトウェア、ルーチン、命令は、特定のアクションを実行するものとして記述されてもよい。但し、このような記述は単に便宜的なものであり、このようなアクションは実際には、演算デバイス、プロセッサ、コントローラ、またはファームウェア、ソフトウェア、ルーチン、命令等を実行する他のデバイスによってもたらされ、アクチュエータまたは他のデバイスに物理的な世界と相互作用させてもよいと理解されるべきである。
【0098】
発明の具体的な実施形態が前述されたが、発明は記述されたものと異なる態様で実施されてもよいと理解される。以上の記述は、例示を目的としており、発明を限定する趣旨ではない。このように、以下で提示される請求項の範囲から逸脱することなく、記述された発明に改変が加えられてもよいことは当業者にとって自明である。発明の他の側面は、以下の番号が付された項目のように提示される。
1.
少なくとも一つの所定の動きに沿って動くように構成されるコンポーネントと、
プロセッサと、
を備え、
プロセッサは、
コンポーネントについての少なくとも一つの所定の動きを示す制御入力を受け取ることと、
制御入力に基づいて、コンポーネントについての制御出力を訓練された機械学習モデルで決定することと、
少なくとも制御出力に基づいてコンポーネントを制御することと、
を機械読取可能命令によって実行するように構成され、
制御入力が訓練データ外になるか否かによらず機械学習モデルが制御出力を決定できるように、機械学習モデルが訓練データで訓練される、
装置。
2.
機械学習モデルは、人工ニューラルネットワークである、項目1に記載の装置。
3.
制御入力は、(1)予めフィルタリングされる、および/または、(2)スキャニングおよび/またはステッピング動作設定点を備える、項目1または2に記載の装置。
4.
動作設定点は、コンポーネントについての目標パラメータを変えることを備える、項目3に記載の装置。
5.
装置は、半導体リソグラフィ装置、光学計測検査ツール、または電子ビーム検査ツールを備える、項目1から4のいずれかに記載の装置。
6.
コンポーネントは、フォトリソグラフィのための一または複数の位置内および/または外に動くように構成される、レチクルステージ、ウェーハステージ、ミラー、またはレンズ要素を備える、項目1から5のいずれかに記載の装置。
7.
制御入力は、経時的なコンポーネントの位置、位置の高次時間微分、速度、または加速度の少なくともいずれかを示すデジタル信号を備える、項目1から6のいずれかに記載の装置。
8.
制御入力は、経時的なコンポーネントの位置および位置の高次時間微分、例えば、速度または加速度の少なくともいずれかを示すデジタル信号を備える、項目1から6のいずれかに記載の装置。
9.
制御出力は、コンポーネントの動きを制御するために使用される力、トルク、電流、電圧、または電荷の少なくともいずれかを備える、項目1から8のいずれかに記載の装置。
10.
機械学習モデルは、訓練データで予め訓練される、項目1から9のいずれかに記載の装置。
11.
訓練は、オフライン、オンライン、またはオフラインおよびオンラインの組合せで実行される、項目10に記載の装置。
12.
訓練データは、複数のベンチマーク訓練制御入力および対応する訓練制御出力のペアを備える、項目10または11に記載の装置。
13.
訓練制御入力は、コンポーネントについての複数の目標パラメータを変えることを備える、項目12に記載の装置。
14.
訓練制御出力は、複数の目標パラメータを変えることに対応する、コンポーネントについての複数の既知の力、トルク、電流、および/または電圧を備える、項目13に記載の装置。
15.
訓練は、機械学習モデルについての一または複数の係数を生成する、項目10から14のいずれかに記載の装置。
16.
装置のコンポーネントを制御するための方法であって、
コンポーネントの少なくとも一つの所定の動きを示す制御入力を受け取ることと、
制御入力に基づいて、コンポーネントについての制御出力を訓練された機械学習モデルで決定することと、
少なくとも制御出力に基づいてコンポーネントを制御することと、
を備え、
制御入力が訓練データ外になるか否かによらず機械学習モデルが制御出力を決定できるように、機械学習モデルが訓練データで訓練される、
方法。
17.
機械学習モデルは、人工ニューラルネットワークである、項目16に記載の方法。
18.
制御入力は、(1)予めフィルタリングされる、および/または、(2)ステッピングおよび/またはスキャニング動作設定点を備える、項目16または17に記載の方法。
19.
動作設定点は、コンポーネントについての目標パラメータを変えることを備える、項目18に記載の方法。
20.
装置は、半導体リソグラフィ装置、光学計測検査ツール、または電子ビーム検査ツールを備える、項目16から19のいずれかに記載の方法。
21.
コンポーネントは、フォトリソグラフィのための一または複数の位置内および/または外に動くように構成される、レチクルステージ、ウェーハステージ、ミラー、またはレンズ要素を備える、項目16から20のいずれかに記載の方法。
22.
制御入力は、経時的なコンポーネントの位置、位置の高次時間微分、速度、または加速度の少なくともいずれかを示すデジタル信号を備える、項目16から21のいずれかに記載の方法。
23.
制御入力は、経時的なコンポーネントの位置および位置の高次時間微分、例えば、速度または加速度の少なくともいずれかを示すデジタル信号を備える、項目16から21のいずれかに記載の装置。
24.
制御出力は、コンポーネントの動きを制御するために使用される力、トルク、電流、電圧、または電荷の少なくともいずれかを備える、項目16から23のいずれかに記載の方法。
25.
機械学習モデルが訓練データで予め訓練される、項目16から24のいずれかに記載の方法。
26.
訓練は、オフライン、オンライン、またはオフラインおよびオンラインの組合せで実行される、項目25に記載の方法。
27.
訓練データは、複数のベンチマーク訓練制御入力および対応する訓練制御出力のペアを備える、項目25または26に記載の方法。
28.
訓練制御入力は、コンポーネントについての複数の目標パラメータを変えることを備える、項目27に記載の方法。
29.
訓練制御出力は、複数の目標パラメータを変えることに対応する、コンポーネントについての複数の既知の力、トルク、電流、および/または電圧を備える、項目28に記載の方法。
30.
訓練は、機械学習モデルについての一または複数の係数を生成する、項目25から29のいずれかに記載の方法。
31.
コンピュータによって実行された時に項目16から30のいずれかに記載の処理を実施する命令が格納された非一時的コンピュータ読取可能媒体。
32.
命令が格納された非一時的コンピュータ読取可能媒体であって、
命令は、コンピュータによって実行された時に、
装置のコンポーネントの少なくとも一つの所定の動きを示す制御入力を受け取ることと、
制御入力に基づいて、コンポーネントについての制御出力を訓練された機械学習モデルで決定することと、
少なくとも制御出力に基づいてコンポーネントを制御することと、
をコンピュータに実行させ、
制御入力が訓練データ外になるか否かによらず機械学習モデルが制御出力を決定できるように、機械学習モデルが訓練データで訓練される、
非一時的コンピュータ読取可能媒体。
33.
機械学習モデルは、人工ニューラルネットワークである、項目32に記載の媒体。
34.
制御入力は、(1)予めフィルタリングされる、および/または、(2)ステッピングおよび/またはスキャニング動作設定点を備える、項目32または33に記載の媒体。
35.
設定点は、コンポーネントについての目標パラメータを変えることを備える、項目34に記載の媒体。
36.
装置は、半導体リソグラフィ装置、光学計測検査ツール、または電子ビーム検査ツールを備える、項目32から35のいずれかに記載の媒体。
37.
コンポーネントは、フォトリソグラフィのための一または複数の位置内および/または外に動くように構成される、レチクルステージ、ウェーハステージ、ミラー、またはレンズ要素を備える、項目32から36のいずれかに記載の媒体。
38.
制御入力は、経時的なコンポーネントの位置、高次時間微分、速度、または加速度の少なくともいずれかを示すデジタル信号を備える、項目32から37のいずれかに記載の媒体。
39.
制御入力は、経時的なコンポーネントの位置および位置の高次時間微分、例えば、速度または加速度の少なくともいずれかを示すデジタル信号を備える、項目32から37のいずれかに記載の装置。
40.
制御出力は、コンポーネントの動きを制御するために使用される力、トルク、電流、電圧、または電荷の少なくともいずれかを備える、項目32から39のいずれかに記載の媒体。
41.
機械学習モデルが訓練データで予め訓練される、項目32から40のいずれかに記載の媒体。
42.
訓練は、オフライン、オンライン、またはオフラインおよびオンラインの組合せで実行される、項目41に記載の媒体。
43.
訓練データは、複数のベンチマーク訓練制御入力および対応する訓練制御出力のペアを備える、項目41または42に記載の媒体。
44.
訓練制御入力は、コンポーネントについての複数の目標パラメータを変えることを備える、項目43に記載の媒体。
45.
訓練制御出力は、複数の目標パラメータを変えることに対応する、コンポーネントについての複数の既知の力、トルク、電流、および/または電圧を備える、項目43または44に記載の媒体。
46.
訓練は、機械学習モデルについての一または複数の係数を生成する、項目41から45のいずれかに記載の媒体。
47.
命令が格納された非一時的コンピュータ読取可能媒体であって、
命令は、コンピュータによって実行された時に、複数のベンチマーク訓練制御入力および対応する訓練制御出力のペアを備える訓練データで、機械学習モデルを訓練すること、をコンピュータに実行させ、
訓練された機械学習モデルは、制御入力に基づいて、装置のコンポーネントについての制御出力を決定するように構成され、
制御入力が訓練データ外になるか否かによらず機械学習モデルが制御出力を決定できるように、機械学習モデルが訓練データで訓練され、
制御入力は、コンポーネントの少なくとも一つの所定の動きを示し、
装置は、少なくとも制御出力に基づいて制御されるように構成される、
非一時的コンピュータ読取可能媒体。
48.
訓練は、オフライン、オンライン、またはオフラインおよびオンラインの組合せである、項目47に記載の媒体。
49.
訓練制御入力は、コンポーネントについての複数の目標パラメータを変えることを備える、項目47または48に記載の媒体。
50.
訓練制御出力は、複数の目標パラメータを変えることに対応する、コンポーネントについての複数の既知の力、トルク、電流、および/または電圧を備える、項目47から49のいずれかに記載の媒体。
51.
訓練は、機械学習モデルについての一または複数の係数を生成する、項目47から50のいずれかに記載の媒体。
図1
図2
図3
図4
図5
図6
図7
図8
図9
図10
【外国語明細書】