(19)【発行国】日本国特許庁(JP)
(12)【公報種別】特許公報(B2)
(11)【特許番号】
(24)【登録日】2023-12-01
(45)【発行日】2023-12-11
(54)【発明の名称】プラットフォーム、及び統合的エンドツーエンド自己整合マルチパターニングプロセスの操作方法
(51)【国際特許分類】
H01L 21/3065 20060101AFI20231204BHJP
【FI】
H01L21/302 105A
(21)【出願番号】P 2020550801
(86)(22)【出願日】2019-03-18
(86)【国際出願番号】 US2019022719
(87)【国際公開番号】W WO2019182961
(87)【国際公開日】2019-09-26
【審査請求日】2022-03-15
(32)【優先日】2018-03-20
(33)【優先権主張国・地域又は機関】US
(32)【優先日】2018-12-21
(33)【優先権主張国・地域又は機関】US
(32)【優先日】2019-01-02
(33)【優先権主張国・地域又は機関】US
(32)【優先日】2019-01-02
(33)【優先権主張国・地域又は機関】US
(32)【優先日】2019-01-04
(33)【優先権主張国・地域又は機関】US
(73)【特許権者】
【識別番号】000219967
【氏名又は名称】東京エレクトロン株式会社
(74)【代理人】
【識別番号】100107766
【氏名又は名称】伊東 忠重
(74)【代理人】
【識別番号】100070150
【氏名又は名称】伊東 忠彦
(74)【代理人】
【識別番号】100135079
【氏名又は名称】宮崎 修
(72)【発明者】
【氏名】クラーク,ロバート
(72)【発明者】
【氏名】ファーレル,リチャード
(72)【発明者】
【氏名】タピリー,カンダバラ
(72)【発明者】
【氏名】ラリー,アンジェリーク
(72)【発明者】
【氏名】ティボー,ソフィ
【審査官】宇多川 勉
(56)【参考文献】
【文献】特表2011-527839(JP,A)
【文献】特開2000-352505(JP,A)
【文献】特表2011-514660(JP,A)
【文献】特開2012-049306(JP,A)
【文献】特開2012-174891(JP,A)
【文献】特開2010-166034(JP,A)
【文献】特開2011-133797(JP,A)
【文献】特開2016-143890(JP,A)
【文献】米国特許出願公開第2016/0293438(US,A1)
【文献】米国特許出願公開第2017/0023357(US,A1)
(58)【調査した分野】(Int.Cl.,DB名)
H01L 21/3065
(57)【特許請求の範囲】
【請求項1】
1つ以上の膜形成モジュール、1つ以上のエッチングモジュール、複数の搬送モジュール、及び前記搬送モジュール内にのみ提供された1つ以上の測定装置を含む1つ以上の測定装置を有する検査システムを含む複数の処理モジュールをホストする共通の製造プラットフォーム上で実行される統合的な処理工程のシーケンスを使用した、半導体ワークピース上の自己整合マルチパターニングの方法であって、
前記統合的な処理工程のシーケンスは、
ワークピースを前記共通の製造プラットフォームに受容するステップであって、前記ワークピースは、該ワークピース上に形成されたマンドレルパターンを有し、前記マンドレルパターンは、第1ピッチ距離で分離された複数の第1フィーチャを含む、ステップと、
前記1つ以上の膜形成モジュール及び前記1つ以上のエッチングモジュールを使用して、前記マンドレルパターンの少なくとも一部に基づき、側壁スペーサパターンを形成するステップであって、前記側壁スペーサパターンは、第2ピッチ距離で分離された複数の第2フィーチャを含み、前記第1ピッチ距離は、前記第2ピッチ距離よりも大きい、ステップと、
を有し、
前記統合的な処理工程のシーケンスは、前記共通の製造プラットフォーム内の制御された環境内で、前記制御された環境を離れることなく実行され、
前記複数の搬送モジュールは、前記ワークピースを前記制御された環境内に維持しながら前記複数の処理モジュール間で前記ワークピースを搬送するために使用され、
前記搬送モジュールの各々は、前記複数の処理モジュールの異なる1つに前記ワークピースを搬送するように制御され、
前記1つ以上の測定装置は、前記ワークピースの測定を実施し、前記側壁スペーサパターンを形成するステップに関する測定データを取得するように構成され、
前記測定装置は、いかなる前記処理モジュール内にも提供されない、方法。
【請求項2】
さらに、前記測定データに基づいて、前記側壁スペーサパターンの厚さ、幅、又はプロファイルが目標状態と合致するかどうかを判断するステップを有する、請求項1に記載の方法。
【請求項3】
前記複数の処理モジュールは、補正モジュールを有し、
当該方法は、さらに、
前記側壁スペーサパターンの前記厚さ、前記幅、又は前記プロファイルが前記目標状態に合致していない場合、前記補正モジュールにおいて前記ワークピースを処理し、前記側壁スペーサパターンを変更するステップ
を有する、請求項2に記載の方法。
【請求項4】
前記複数の搬送モジュールは、さらに、前記複数の搬送モジュールの少なくとも1つの専用領域内に配置されたワークピース測定領域を有し、
前記測定データを取得するステップは、前記ワークピース測定領域に前記ワークピースを通すことにより、前記複数の処理モジュールの間の前記ワークピースの搬送の少なくとも1つの間、実施される、請求項2に記載の方法。
【請求項5】
前記共通の製造プラットフォームは、1つ以上の測定モジュールを有し、
前記測定データを取得するステップは、前記統合的な処理工程のシーケンスの前記1つ以上の処理工程の間、前記測定モジュールに前記ワークピースを搬送することにより実施される、請求項2に記載の方法。
【請求項6】
さらに、
前記共通の製造プラットフォームにホストされたインテリジェンスシステムを用い、前記取得された測定データに基づいて、前記共通の製造プラットフォームで実施される前記統合的な処理工程のシーケンスを制御するステップ
を有する、請求項2に記載の方法。
【請求項7】
前記側壁スペーサパターンを形成するステップは、自己整合ダブルパターニングプロセス、自己整合トリプルパターニングプロセス、自己整合クアドラプルパターニングプロセス、又は自己整合オクタプルパターニングプロセスを有する、請求項1に記載の方法。
【請求項8】
半導体ワークピース上の材料を処理する方法であって、
当該方法は、統合的な処理工程のシーケンスを有し、
該統合的な処理工程のシーケンスは、
ワークピース
を共通の製造プラットフォームに受容するステップであって、前記ワークピースは、該ワークピース上に形成された複数のマンドレルラインを有するマンドレルパターンを有する、ステップと、
前記共通の製造プラットフォームにホストされた第1膜形成モジュールを用いて、前記マンドレルパターンにわたって第1の薄膜をコンフォーマルに設置するステップと、
前記共通の製造プラットフォームにホストされた第1エッチングモジュールを用いて、真空を壊さずに、前記マンドレルパターンの上面、及び前記マンドレルパターンに隣接する下面から、前記第1の薄膜を除去し、前記マンドレルパターンの側壁に前記第1の薄膜を残し、これにより、第1の側壁スペーサを形成するステップと、
前記共通の製造プラットフォームにホストされた第2エッチングモジュールを用いて、真空を壊さずに、前記ワークピースから前記マンドレルパターンを除去し、前記第1の側壁スペーサを残し、これにより、前記マンドレルラインの数の2倍の数のフィーチャを有する新たなフィーチャパターンが形成される、ステップと、
真空を壊さずに、1つ以上の前記ワークピースの特性に関する測定データを取得するステップと、
を有し、
前記共通の製造プラットフォームは、真空を壊さずに、前記第1膜形成モジュール、前記第1エッチングモジュール、および前記第2エッチングモジュールの間で、前記ワークピースを搬送する複数の搬送モジュールを有し、
前記複数の搬送モジュールの各々は、前記第1膜形成モジュール、前記第1エッチングモジュール、前記第2エッチングモジュールの中の異なるモジュールに、前記ワークピースを搬送するように構成され、
前記測定データは、前記搬送モジュール内にのみ提供された、少なくとも1つの測定装置により取得され、
前記測定データは、前記第1膜形成モジュール、前記第1エッチングモジュール、及び前記第2エッチングモジュール内では取得されない、方法。
【請求項9】
さらに、
前記測定データに基づいて、前記1つ以上の特性が目標状態と合致するかどうかを判断するステップ
を有する、請求項8に記載の方法。
【請求項10】
前記1つ以上の特性は、前記共通の製造プラットフォームに受容された前記ワークピースの前記マンドレルパターンの特性、前記共通の製造プラットフォームに受容された前記ワークピースの下地層の特性、コンフォーマルに設置された前記第1の薄膜の特性、前記第1の薄膜をコンフォーマルに設置するステップの後の前記マンドレルパターンの特性、前記第1の薄膜をコンフォーマルに設置するステップの後の下地層の特性、前記第1の薄膜を除去するステップの後の前記マンドレルパターンの前記側壁上の前記側壁スペーサの特性、前記第1の薄膜を除去するステップの後の前記マンドレルパターンの特性、前記第1の薄膜を除去するステップの後の前記下地層の特性、前記マンドレルパターンを除去するステップの後の前記側壁スペーサの特性、又は前記マンドレルパターンを除去するステップの後の前記下地層の特性を含む、請求項9に記載の方法。
【請求項11】
前記複数の
搬送モジュールは、補正モジュールを有し、
当該方法は、さらに、
前記1つ以上の特性が前記目標状態と合致しないと判断された場合、前記補正モジュールにおいて前記ワークピースを処理し、前記1つ以上の特性を変更するステップ
を有する、請求項10に記載の方法。
【請求項12】
前記取得された測定データを用いて、前記ワークピースに形成された前記新たなフィーチャパターンの欠陥性、膜共形性、厚さ、均一性、及び/又は選択性が定められ、
前記補正モジュールにおいて前記ワークピースを処理するステップは、
前記第1の薄膜の前記1つ以上の特性が前記
第1の薄膜の前記目標状態と合致しない場合、前記コンフォーマルに設置された第1の薄膜を修復するステップと、
前記第1の側壁スペーサの前記1つ以上の特性が前記第1の側壁スペーサの前記目標状態と合致しない場合、前記第1の側壁スペーサを修復するステップと、
を有する、請求項11に記載の方法。
【請求項13】
前記共通の製造プラットフォームは、測定モジュールを有し、
該測定モジュールは、
前記ワークピースの測定表面に入射された診断ビームを誘導する少なくとも1つの光源と、
前記ワークピースの前記測定表面から散乱された診断信号を受信するように配置された少なくとも1つの検出器と、
を有し、
前記統合的な処理工程のシーケンスは、さらに、真空を壊さずに、前記測定モジュールに前記ワークピースを搬送するステップ、及び前記ワークピースの前記1つ以上の特性に関する前記測定データを取得するステップを有し、
前記測定データは、前記統合的な処理工程のシーケンスの1つ以上の処理工程の間で取得される、請求項9に記載の方法。
【請求項14】
前記複数の搬送モジュールは、さらに、前記複数の搬送モジュールの少なくとも1つの専用領域内に配置されたワークピース測定領域を有し、
前記統合的な処理工程のシーケンスは、さらに、
真空を壊さずに、前記ワークピースを前記ワークピース測定領域に通すステップと、
前記ワークピースの前記1つ以上の特性に関する前記測定データを取得するステップと、
を有し、
前記測定データは、前記統合的な処理工程のシーケンスの1つ以上の処理工程の間で取得される、請求項9に記載の方法。
【請求項15】
前記測定データは、前記統合的な処理工程のシーケンスの前記処理工程の各々の後に取得され、
前記複数の
搬送モジュールは、補正モジュールを有し、
当該方法は、さらに、
前記処理工程の1つの後に取得される前記測定データが、前記1つ以上の特性が前記目標状態と合致しないことを示唆する場合、前記統合的な処理工程のシーケンスにおける次の処理工程が実施される前に、前記補正モジュールにおいて前記ワークピースを処理するステップ、
を有する、請求項14に記載の方法。
【請求項16】
前記統合的な処理工程のシーケンスは、さらに、
真空を壊さずに、前記共通の製造プラットフォームにホストされた第2膜形成モジュールを用いて、前記新たなフィーチャパターンにわたって第2の薄膜をコンフォーマルに設置するステップと、
真空を壊さずに、前記共通の製造プラットフォームにホストされた第3エッチングモジュールを用いて、前記新たなフィーチャパターンの上面、及び前記新たなフィーチャパターンに隣接する下面から、前記第2の薄膜を除去し、前記新たなフィーチャパターンの側壁に前記第2の薄膜を残し、これにより第2の側壁スペーサを形成するステップと、
真空を壊さずに、前記共通の製造プラットフォームにホストされた第4エッチングモジュールを用いて、前記ワークピースから前記新たなフィーチャパターンを除去し、前記第2の側壁スペーサを残すステップであって、前記第2の側壁スペーサの数は、前記マンドレルラインの数の4倍である、ステップと、
を有する、請求項15に記載の方法。
【請求項17】
前記統合的な処理工程のシーケンスは、さらに、
真空壊さずに、及び前記共通の製造プラットフォームから排出されずに、第2シーケンスパスにおいて、前記複数の搬送モジュールを用いて、前記第1膜形成モジュール、前記第1エッチングモジュール、および前記第2エッチングモジュールの間で前記ワークピースを搬送し、前記新たなフィーチャパターンにわたって第2
の薄膜をコンフォーマルに設置するステップと、
前記新たなフィーチャパターンの上面、及び前記新たなフィーチャパターンに隣接する下面から、前記第2の薄膜を除去し、前記新たなフィーチャパターンの側壁に前記第2の薄膜を残し、これにより、第2の側壁スペーサを形成するステップと、
前記ワークピースから前記新たなフィーチャパターンを除去し、前記第2の側壁スペーサを残すステップであって、前記第2の側壁スペーサの数は、前記マンドレルラインの数の4倍である、ステップと、
を有する、請求項15に記載の方法。
【請求項18】
半導体ワークピース上の材料を処理する方法であって、
当該方法は、統合的な処理工程のシーケンスを有し、
前記統合的な処理工程のシーケンスは、
共通の製造プラットフォームにおいてワークピースを受容するステップであって、前記ワークピースは、該ワークピースの上に形成された、複数のマンドレルラインを有するマンドレルパターンを有する、ステップと、
前記共通の製造プラットフォームにホストされた第1膜形成モジュールを用いて、前記マンドレルパターンにわたって第1の薄膜をコンフォーマルに設置するステップと、
真空を壊さずに、前記共通の製造プラットフォームにホストされた第1エッチングモジュールを用いて、前記マンドレルパターンの上面、及び前記マンドレルパターンに隣接する下面から、前記第1の薄膜を除去し、前記マンドレルパターンの側壁に前記第1の薄膜を残し、これにより第1の側壁スペーサを形成するステップと、
真空を壊さずに、前記共通の製造プラットフォームにホストされた第2膜形成モジュールにおいて、前記第1の側壁スペーサ及び前記マンドレルパターンにわたって第2の薄膜をコンフォーマルに設置するステップと、
真空を壊さずに、前記共通の製造プラットフォームにホストされた第2エッチングモジュールにおいて、前記第1の側壁スペーサ及びマンドレルパターンの上面、及び前記第1の側壁スペーサに隣接する下面から、前記第2の薄膜を除去し、前記第1の側壁スペーサの側壁に前記第2の薄膜を残し、これにより、第2の側壁スペーサを形成するステップと、
真空を壊さずに、前記共通の製造プラットフォームにホストされた第3エッチングモジュールを用いて、前記ワークピースから前記第1の側壁スペーサを除去し、前記第2の側壁スペーサ及びマンドレルパターンを残し、これにより、マンドレルラインの数の3倍の数のフィーチャを有する、新たなフィーチャパターンを形成するステップと、
を有し、
前記共通の製造プラットフォームは、真空を壊さずに、前記第1膜形成モジュール、前記第2膜形成モジュール、前記第1エッチングモジュール、前記第2エッチングモジュール、および前記第3エッチングモジュールの間に、前記ワークピースを搬送する複数の搬送モジュールを有し、
前記複数の搬送モジュールの各々は、前記第1膜形成モジュール、前記第1エッチングモジュール、前記第2エッチングモジュール、および前記第3エッチングモジュールの間で、前記ワークピースを異なるモジュールに搬送するように構成され、
前記複数の搬送モジュールは、さらに、前記複数の搬送モジュールの少なくとも1つの専用領域内に配置されたワークピース測定領域を有し、
前記統合的な処理工程のシーケンスは、さらに、
真空を壊さずに、前記ワークピース測定領域に前記ワークピースを通し
、前記ワークピースの1つ以上の特性に関する測定データを取得するステップと、
前記測定データに基づいて、前記1つ以上の特性が目標状態と合致するかどうかを判断するステップであって、前記測定データは、前記統合的な処理工程のシーケンスの前記処理工程の1つ以上の間で取得される、ステップと、
を有し、
前記測定データは、前記第1膜形成モジュール、前記第2膜形成モジュール、前記第1エッチングモジュール、前記第2エッチングモジュール、および前記第3エッチングモジュール内では取得されない、方法。
【請求項19】
前記測定データは、前記統合的な処理工程のシーケンスの前記処理工程の各々の後に取得され、
前記複数の
搬送モジュールは、補正モジュールを有し、
当該方法は、さらに、
前記処理工程の1つの後に取得された前記測定データが、前記1つ以上の特性が前記目標状態と合致しないことを示唆する場合、前記補正モジュールにおいて前記ワークピースを処理し、前記統合的な処理工程のシーケンスにおいて次の処理工程を実施する前に、前記1つ以上の特性を変更するステップ
を有する、請求項18に記載の方法。
【発明の詳細な説明】
【技術分野】
【0001】
関連出願の相互参照
本出願は、2018年3月20日に出願の、「Substrate Processing Tool with Integrated Metrology and Method of Using」という名称の米国特許仮出願第62/645,685号明細書、2018年12月21日に出願の、「Platform and Method for Operating for Integrated End-to-End Self Aligned Multiple Patterning Process」という名称の米国特許仮出願第62/784,151号明細書、2019年1月2日に出願の、「Self-Aware and Correcting Heterogeneous Platform incorporating Integrated Semiconductor Processing Modules and Method for using same」という名称の米国特許仮出願第62/787,607号明細書、2019年1月2日に出願の、「Self-Aware and Correcting Heterogeneous Platform incorporating Integrated Semiconductor Processing Modules and Method for using same」という名称の米国特許仮出願第62/787,608号明細書、及び2019年1月4日に出願の、「Substrate Processing Tool with Integrated Metrology and Method of using」という名称の米国特許仮出願第62/788,195号明細書の利益を主張するものであり、これらは参照により全体が本明細書に組み込まれる。
【0002】
本発明は、処理プラットフォーム、及びこのプラットフォームを使用した半導体処理の方法に関し、より具体的には、自己整合マルチパターニング(SAMP:self-aligned multi-patterning)の方法に関する。
【背景技術】
【0003】
SAMP技術は、フィン型電界効果トランジスタ(FinFET:fin-type field effect transistor)デバイス等の構成要素の形成に使用されてきた。寸法縮小は、集積回路処理の発展の原動力の1つである。サイズ寸法を低減することにより、費用対効果とデバイス性能の向上を得ることができる。このスケーラビリティにより、特にパターニング技術におけるプロセスフローの避けがたい複雑さが生じる。製造されるトランジスタが小型化するにつれて、特に大量生産において、パターン形成されるフィーチャのクリティカルディメンション(CD:critical dimension)又は解像度の生成がますます困難になっている。コスト効率の良いスケーリングを継続できるようにするには、自己整合パターニングが、重ね合わせ式パターニング(overlay-driven patterning)に取って代わる必要がある。大量製造環境では、ばらつきの低減、スケーリングの拡張、並びにCD及びプロセス制御の向上を可能にするパターニングオプションが必要である。しかし、スケーリングされたデバイスを適度な低コスト及び高歩留まりで製造することは極めて困難になってきている。
【0004】
従来のSAMPフローは、マンドレル(又はコア)形成、スペーサ堆積、スペーサエッチング、及びマンドレルプルを含むいくつかの工程を有する。この手法では、最終的なフィーチャのクリティカルディメンション(CD)は、スペーサの堆積厚さ並びにラインエッジ粗さ(LER:line edge roughness)及びライン幅粗さ(LWR:line width roughness)などのスペーサの物理的特徴を含むワークピースの属性によって制御される。更に、スペーサエッチングでは、スペーサファセット及びCDの損失など、最終的なスペーサプロファイルの歪みが発生することが多い。スペーサプロファイルは、ピッチウォーキング(pitch-walking)効果、マスク予算、及び最終構造をターゲットとするCDにかなりの影響を及ぼすので、スペーサプロファイル及びCDを維持することは重要である。処理技術に関する更なる課題としては、不均一なエッチングによるスペーサ高さの損失、及びコア材料とスペーサ材料との間の選択性の欠如が挙げられる。更に、スペーサ材料の不適切なエッチングにより、スペーサのフッティング、コアとスペーサの段差の相違等がもたらされる可能性がある。このような製造の欠陥は、更なるデバイスの欠陥を引き起こし、製品の生産率を低下させ、製造デバイスのスケールを制限するなどの可能性がある。SAMPプロセスフローにおける複数の操作では、時間的なツールのドリフトが課題であり、具体的には、エッジ配置誤差(EPE:edge placement error)が許容レベルを超える可能性がある。EPEは、意図した設計と実際の結果との間の差であり、ブロッキングマスクの配置誤差とプロセスシフトとを引き起こすばらつきの総和と定義される。EPEは数値で表され、目標EPE値が所与のプロセスフローに対して定義される。簡潔には、EPEは、様々なメトリック(CD均一性、重ね合わせ、ラインエッジ粗さ(LER))とばらつきとを組み合わせたものに相当する。
【0005】
デバイスがより小さくスケーリングされ、スケーリングに起因する課題に対処しようとしてより小さなフィーチャ及び技術が実装されるにつれ、製作プロセスをプロセスフローの様々な段階で監視して、フィーチャの属性が仕様内であるかどうかを判定し、もし仕様内でなければ、このワークピースを仕様内に収めるために又は後に処理されるワークピースを仕様内に収めるために、プロセスを調整することが重要である。
【0006】
従来のSAMPでは、プロセスは、大量製造用に複数の別個のスタンドアロンツールを用いて実施される。ウェーハは、SAMPフローの複数の工程が完了するまで、1つのツールに順次装填され、そのツールで1つのプロセス工程が施され、その後、周囲環境に取り出され、次のツールに装填するための待ち行列内に入れられる、等である。各ツールの待ち行列で待つ間に費やされる時間はQタイムと呼ばれ、Qタイムが高いと生産率が低くなる。プロセスフローにおける異なる操作には異なる量の時間がかかる場合があり、ツールのスループット一致が生産上の課題となる。
【0007】
プロセスフロー内の各ツールはツールクラスターの一部であり得る。例えば、5つの同一エッチングツールを搬送ツールと組み合わせてクラスター化することができ、5つのウェーハをプロセスフローの1つの工程で同時にエッチングすることができ、大量生産を可能にする。これらクラスターツールの重複性は、ツールが何らかの理由で使用不能になった場合に利点を提供する。5ツールクラスターのうちの1つのツールが1週間使用不能になった場合、わずか80%の能力ではあっても生産を継続することができる。したがって、SAMPフロー内の各スタンドアロンツールは、使用不能なツールによって生産が完全に停止することを防ぐために同一ツールのクラスターであってもよく、クラスタリングは、スループット一致の課題を最小限にするために使用されてもよい。
【0008】
従来のSAMPでは、プロセスが仕様内で動作しているかどうかを判定するために測定が必要な場合、スタンドアロン計測ツールが含まれる場合があり、この場合、ワークピースは測定を行うためにプロセスフローから定期的に取り出されるが、これは多くの場合、ワークピース上の測定パッドを用いた破壊的な測定である。結果は、プロセスフロー内の下流の工程に対する調整又は将来のウェーハのための上流の工程に対する調整のために、プロセスフローツールにフィードバックされ得る。このプロセスには、周囲環境への曝露と、計測ツールが利用可能になるまでのQタイム待機と、結果が得られるまでの長い測定時間とを伴い、フィードバック又はフィードフォワードのいずれかの手法でプロセスフローに対する調整を行うことができるデータを利用可能になるまでに、多大な時間が経過するおそれがある。ワークピースの属性のリアルタイム測定をプロセスチャンバ内で行うことが理想的ではあるが、測定デバイスのプロセスガスへの曝露が問題となり、リアルタイムのその場測定及び制御をロジスティック的に困難又は不可能にする。
【0009】
したがって、大量製造のために複数の別個のスタンドアロンツール(単一又はクラスター)を使用する従来の手法は、Qタイムによる酸化(即ち、ウェーハがツール間で次のツールの順番を待っている際に、ウェーハは周囲環境からの酸化を受ける可能性がある)、ツール間での環境曝露による欠陥、スループット一致の難しさによるコストの課題、時間的なツールドリフト(例えば、EPE)、リアルタイムのチャンバ一致(例えば、歩留まり及びEPE)、並びにリアルタイムのワークピース測定及びプロセス制御の欠如を含むがこれらに限定されない課題をもたらす可能性がある。SAMP技術による大量製造を可能にするためにこれらの課題及び他の課題に対処する必要がある。
【発明の概要】
【課題を解決するための手段】
【0010】
実施形態によれば、1つ以上の膜形成モジュールと1つ以上のエッチングモジュールと1つ以上の搬送モジュールとを含む複数の処理モジュールをホストする共通の製造プラットフォーム上で実行される統合的な処理工程のシーケンスを使用した、半導体ワークピース上の自己整合マルチパターニングの方法が提供される。一実施形態では、統合的な処理工程のシーケンスは、ワークピースを共通の製造プラットフォームに受け取ることであって、ワークピースは、ワークピース上に形成されたマンドレルパターンを有し、マンドレルパターンは、第1ピッチ距離で分離されたいくつかのフィーチャを含む、ことと、1つ以上の膜形成モジュールと1つ以上のエッチングモジュールとを使用して、マンドレルパターンに少なくとも部分的に基づいて側壁スペーサパターンを形成することであって、側壁スペーサパターンは、第2ピッチ距離で分離された複数の第2フィーチャを含み、第1ピッチ距離は第2ピッチ距離よりも大きい、ことと、を含む。統合的な処理工程のシーケンスは、共通の製造プラットフォーム内の制御された環境内で、制御された環境を離れることなく実行され、1つ以上の搬送モジュールは、ワークピースを制御された環境内に維持しながら複数の処理モジュール間でワークピースを搬送するために使用される。
【0011】
別の実施形態では、いくつかのマンドレル線を含むマンドレルパターンがその上に形成されたワークピースが共通の製造プラットフォームに受け取られ、統合的な処理工程のシーケンスは、共通の製造プラットフォーム上にホストされた第1膜形成モジュールを用いて、マンドレルパターン上に第1の薄膜をコンフォーマルに塗布することと、マンドレルパターンの側壁上の第1の薄膜を残して、それにより、第1の側壁スペーサを形成するために、真空を破壊することなく、共通の製造プラットフォーム上にホストされた第1エッチングモジュールを用いて、マンドレルパターンの上面及びマンドレルパターンに隣接する下面から第1の薄膜を除去することと、を更に含む。その後、第1の側壁スペーサを残して、それにより、マンドレル線の数の2倍の数のフィーチャを含む新たなフィーチャパターンを形成するために、真空を破壊することなく、共通の製造プラットフォーム上にホストされた第2エッチングモジュールを用いて、ワークピースからマンドレルパターンを除去する。1つ以上の搬送モジュールは、真空を破壊することなく、第1膜形成モジュールと、第1エッチングモジュールと、第2エッチングモジュールとの間でワークピースを搬送するために使用される。
【0012】
関連する実施形態では、当該方法は、新たなフィーチャパターンを別のマンドレルパターンとして使用して継続される。継続される方法では、真空を破壊することなく、共通の製造プラットフォーム上にホストされた第2膜形成モジュールを用いて、第2の薄膜が、新たなフィーチャパターン上にコンフォーマルに塗布される。継続される方法は、新たなフィーチャパターンの側壁上の第2の薄膜を残して、それにより、第2の側壁スペーサを形成するために、真空を破壊することなく、共通の製造プラットフォーム上にホストされた第3エッチングモジュールを用いて、新たなフィーチャパターンの上面及び新たなフィーチャパターンに隣接する下面から第2の薄膜を除去することと、第2の側壁スペーサを残すために、真空を破壊することなく、共通の製造プラットフォーム上にホストされた第4エッチングモジュールを用いて、ワークピースから第2のマンドレルパターンを除去することと、を更に含み、第2の側壁スペーサの数はマンドレル線の数の4倍である。
【0013】
別の実施形態では、いくつかのマンドレル線を含むマンドレルパターンがその上に形成されたワークピースが共通の製造プラットフォームに受け取られ、統合的な処理工程のシーケンスは、共通の製造プラットフォーム上にホストされた第1膜形成モジュールを用いて、マンドレルパターン上に第1の薄膜をコンフォーマルに塗布することと、マンドレルパターンの側壁上の第1の薄膜を残して、それにより、第1の側壁スペーサを形成するために、真空を破壊することなく、共通の製造プラットフォーム上にホストされた第1エッチングモジュールを用いて、マンドレルパターンの上面及びマンドレルパターンに隣接する下面から第1の薄膜を除去することと、を更に含む。その後、第2の薄膜は、真空を破壊することなく、共通の製造プラットフォーム上にホストされた第2膜形成モジュール内で、第1の側壁スペーサ及びマンドレルパターン上にコンフォーマルに塗布され、第2の薄膜は、第1の側壁スペーサの側壁上の第2の薄膜を残して、それにより、第2の側壁スペーサを形成するために、再度、真空を破壊することなく、共通の製造プラットフォーム上にホストされた第2エッチングモジュール内で、第1の側壁スペーサの上面及びマンドレルパターンの上面と第1の側壁スペーサに隣接する下面とから除去される。その後、第2の側壁スペーサ及びマンドレルパターンを残して、それにより、マンドレル線の数の3倍の数のフィーチャを含む新たなフィーチャパターンを形成するために、第1の側壁スペーサは、真空を破壊することなく、共通の製造プラットフォーム上にホストされた第3エッチングモジュールを用いて、ワークピースから除去される。1つ以上の搬送モジュールは、真空を破壊することなく、第1膜形成モジュールと、第1エッチングモジュールと、第2膜形成モジュールと、第2エッチングモジュールと、第3エッチングモジュールとの間でワークピースを搬送するために使用される。
【0014】
一実施形態では、統合的な処理工程のシーケンスは、ワークピースを共通の製造プラットフォームに受け取ることであって、ワークピースは、ワークピース上に形成されたマンドレルパターンを有し、マンドレルパターンは、第1ピッチ距離で分離された、いくつかのフィーチャを含む、ことと、1つ以上の膜形成モジュールと1つ以上のエッチングモジュールとを使用して、マンドレルパターンに少なくとも部分的に基づいて側壁スペーサパターンを形成することであって、側壁スペーサパターンは、第2ピッチ距離で分離された複数の第2フィーチャを含み、第1ピッチ距離は第2ピッチ距離よりも大きい、ことと、を含む。統合的な処理工程のシーケンスは、側壁スペーサパターンの形成に関連する測定データを取得することであって、測定データは、側壁スペーサパターンの厚さ、幅、又はプロファイルを判定するために使用される、ことと、側壁スペーサパターンの厚さ、幅、又はプロファイルが、側壁スペーサパターンの目標の厚さ、幅、又はプロファイルを満たしていない場合に、(i)構造上に追加の材料を選択的に堆積させること、(ii)構造上に追加の材料をコンフォーマルに堆積させること、(iii)構造を再形成すること、(iv)構造をエッチングすること、(v)構造にドーパントを注入すること、(vi)構造の材料層を除去し、再塗布すること、又はこれらの2つ以上のいずれかの組み合わせによって、側壁スペーサパターンを補修することと、を更に含む。統合的な処理工程のシーケンスは、共通の製造プラットフォーム内の制御された環境内で、制御された環境を離れることなく実行され、1つ以上の搬送モジュールは、ワークピースを制御された環境内に維持しながら複数の処理モジュール間でワークピースを搬送するために使用される。
【0015】
関連する実施形態では、側壁スペーサパターンを形成することは、1つ以上の膜形成モジュールのうちの1つにおいて、マンドレルパターン上に薄膜をコンフォーマルに塗布することと、マンドレルパターンの側壁上の薄膜を残して、それにより、側壁スペーサを形成するために、1つ以上のエッチングモジュールのうちの1つにおいて、マンドレルパターンの上面及びマンドレルパターンに隣接する下面から薄膜を除去することと、側壁スペーサを残すために、1つ以上のエッチングモジュールのうちの1つにおいてワークピースからマンドレルパターンを除去することと、を含み、側壁スペーサは、除去したマンドレルパターンのフィーチャの数の倍数(multiplicity)を有する側壁スペーサパターンを形成する。
【0016】
別の関連する実施形態では、側壁スペーサパターンを形成することは、1つ以上の膜形成モジュールのうちの1つにおいて、マンドレルパターン上に第1の薄膜をコンフォーマルに塗布することと、マンドレルパターンの側壁上の第1の薄膜を残して、それにより、第1の側壁スペーサを形成するために、1つ以上のエッチングモジュールのうちの1つにおいて、マンドレルパターンの上面及びマンドレルパターンに隣接する下面から第1の薄膜を除去することと、1つ以上の膜形成モジュールのうちの1つにおいて、第1の側壁スペーサ及びマンドレルパターン上に第2の薄膜をコンフォーマルに塗布することと、第1の側壁スペーサの側壁上の第2の薄膜を残して、それにより、第2の側壁スペーサを形成するために、1つ以上のエッチングモジュールのうちの1つにおいて、第1の側壁スペーサの上面及びマンドレルパターンの上面と第1の側壁スペーサに隣接する下面とから第2の薄膜を除去することと、第2の側壁スペーサ及びマンドレルパターンを残して、除去したマンドレルパターンのフィーチャの数の倍数を有するフィーチャパターンを形成するために、1つ以上のエッチングモジュールのうちの1つにおいて、ワークピースから第1の側壁スペーサを除去することと、を含む。
【0017】
添付図面は、本明細書に組み込まれ、その一部を成し、本発明の実施形態を図解し、上記の本発明の概要説明、並びに下記の詳細説明とともに、本発明を説明する役割を果たす。
【図面の簡単な説明】
【0018】
【
図1A-1E】自己整合ダブルパターニング方法の一実施形態を示す概略断面図である。
【
図2A-2D】自己整合クアドラプルパターニング方法の一実施形態を示す概略断面図である。
【
図3】自己整合マルチパターニングの統合的なプロセスフローの一実施形態を示すフローチャート図である。
【
図4】統合的な自己整合マルチパターニング方法を実施するための共通の製造プラットフォームの一実施形態を示す概略図である。
【
図5】統合的な自己整合マルチパターニング方法を実施するための共通の製造プラットフォームの一実施形態を示す概略図である。
【
図6A-6G】自己整合トリプルパターニング方法の一実施形態を示す概略断面図である。
【
図7】自己整合マルチパターニングの統合的なプロセスフローの一実施形態を示すフローチャート図である。
【
図8】統合的な処理工程のシーケンスを実施するための共通の製造プラットフォームの一実施形態を示す概略図である。
【
図9A】統合的な処理工程のシーケンスを実施するための共通の製造プラットフォームの別の実施形態の概略上面図である。
【
図9B】
図9Aの共通の製造プラットフォームに組み込まれた測定モジュールの部分側断面図である。
【
図9C】統合的な処理工程のシーケンスを実施するための共通の製造プラットフォームの別の実施形態の概略上面図である。
【
図9D】
図9Cの共通の製造プラットフォームに組み込まれた測定モジュールの部分側断面図である。
【発明を実施するための形態】
【0019】
自己整合マルチパターニング(SAMP)のための統合的なプラットフォームを使用する方法が示される。しかしながら、当業者であれば理解されるように、様々な実施形態が、以下の具体的詳細のうちの1つ以上を伴わずに、或いは、他の代替及び/若しくは追加の方法、材料、又は構成要素を伴って、実施されてよい。他の例では、周知の構造、材料又は操作は、本発明の様々な実施形態の態様を曖昧にすることを避けるために、詳細に図示されない又は説明されない。
【0020】
同様に、本発明の完全な理解を提供するために、説明の目的で、特定の数、材料、及び構成が示される。しかしながら、本発明は、具体的詳細がなくても実施可能である。更に、図面に示される様々な実施形態は例示的表現であり、必ずしも原寸に比例して描かれていないということが理解される。図面を参照するにあたっては、類似の参照符号は、図面全体を通して類似の要素を参照している。
【0021】
本明細書を通して「一実施形態(one embodiment)」又は「一実施形態(an embodiment)」又はその変形形態への言及は、その実施形態に関連して説明された特定の特徴、構造、材料、又は特性が、本発明の少なくとも1つの実施形態に含まれることを意味するが、それらがあらゆる実施形態に存在することを意味するわけではない。したがって、本明細書を通して様々な場所に出現し得る「一実施形態では(in one embodiment)」又は「一実施形態では(in an embodiment)」等の語句は、必ずしも本発明の同一実施形態に言及しているわけではない。更に、特定の特徴、構造、材料又は特性は、1つ以上の実施形態において任意の適切な様式で組み合わされ得る。別の実施形態では、様々な追加の層及び/又は構造が含まれてよく、且つ/又は、説明された特徴が省略されてよい。
【0022】
更に、「1つの(a)」又は「1つの(an)」は、明示的に別段の定めがない限り、「1つ以上の」を意味してよいことが理解される。
【0023】
様々な操作が、複数の別個の操作として順番に説明されるが、これは、本発明を最も理解しやすい順番である。しかしながら、説明の順序は、それらの操作が必ず順序依存であることを示唆するものとして解釈されるべきではない。具体的には、これらの操作は、説明された順序で実施される必要がない。説明された操作は、説明された実施形態と異なる順序で実施されてよい。別の実施形態では、様々な追加操作が実施されてよく、且つ/又は説明された操作が省略されてよい。
【0024】
本明細書では「基板」という用語は、その上に材料が形成されるベース材料又はベース構造を意味し、且つ包含する。基板は、単一材料、様々な材料の複数の層、様々な材料又は様々な構造の領域を有する層などを含み得ることは理解されるであろう。これらの材料は半導体、絶縁体、導体又はそれらの組み合わせを含み得る。例えば、基板は、半導体基板、支持構造上のベース半導体層、金属電極、又は、1つ以上の層、構造、若しくは領域がその上に形成された半導体基板であってよい。基板は、半導体材料の層を含む、従来のシリコン基板又は他のバルク基板であってよい。本明細書では「バルク基板」という用語は、シリコンウェーハだけでなく、シリコンオンインシュレータ(「SOI」)基板(例えば、シリコンオンサファイア(「SOS」)基板及びシリコンオンガラス(「SOG」)基板)、ベース半導体を土台とした、シリコンのエピタキシャル層、及び他の半導体材料又は光電子材料(例えば、シリコンゲルマニウム、ゲルマニウム、ガリウムひ素、窒化ガリウム、及びリン化インジウム)も意味し、且つ包含する。基板は、ドープされていても、されていなくてもよい。
【0025】
本明細書では、「ワークピース」という用語は、半導体デバイス製造プロセスの1つ以上のフェーズの最中に基板上に形成される材料又は層の組成物を意味する。ワークピースは、最終的に、処理の最終段階で半導体デバイスを含む。
【0026】
本実施形態は、複数の処理工程が、共通プラットフォーム上で、制御された環境内で、例えば、操作間で真空を破壊することなく実施される、共通の製造プラットフォームを利用するSAMPの方法を含む。統合的なエンドツーエンドプラットフォームは、エッチングモジュール及び膜形成モジュールの両方を含み、ワークピースを制御された環境内に維持しながら、例えば、真空を破壊することなく又は共通の製造プラットフォームの外の不活性ガス保護環境を離れることなく、ワークピースを1つのモジュールから別のモジュールに搬送するように構成されており、したがって、周囲環境への曝露が回避される。任意のSAMPプロセスを、共通の製造プラットフォーム上で実施してもよく、統合的エンドツーエンドプラットフォームは、コストを削減し、歩留まり、欠陥レベル及びEPEを改善しながら大量製造を可能にする。本発明で使用する場合、SAMPプロセスは、ワークピース上のフィーチャのピッチを減少させるための任意のスペーサパターニング技術又は側壁画像転写技術を含み、ピッチ密度の増加と呼ぶこともできる。SAMPプロセスは、限定はしないが、例えば、自己整合ダブル、トリプル、クアドラプル、オクタプル等のパターニング、多色交互材料、自己ブロッキング及びカッティング、多色パターニングされたマスク層等を含む。本明細書で使用する場合、「ピッチ」又は「ピッチ距離」は、パターンの2つの隣接するフィーチャ内の2つの同一点間の距離である。フィーチャの数を増加させ、それによりピッチ距離、即ちピッチを減少させるために、第1ピッチ距離で分離された最初のフィーチャ数を有するパターンが増倍されてもよい。例えば、クアドラプルパターニングプロセスでは、フィーチャの数、即ち、ピッチ密度を4倍にし、ピッチ即ちピッチ距離を、最初のピッチの4分の1、即ち、1/4に減少させる。これはピッチ増倍(pitch multiplication)と誤って呼ばれることがあるが、より正確には、ピッチ削減(pitch reduction)又はピッチ密度増倍(pitch density multiplication)と称され得る。
【0027】
本発明で使用する場合、「膜形成モジュール」は、プロセスチャンバ内のワークピース上に膜又は層を堆積させる又は成長させるための任意の種類の処理ツールを指す。膜形成モジュールは、単一ウェーハツール、バッチ処理ツール、又はセミバッチ処理ツールであってもよい。膜形成モジュールで実施してもよい膜堆積又は成長の種類としては、例えば、化学気相成長法、プラズマ強化又はプラズマアシスト式化学気相成長法、原子層堆積、物理気相成長法、熱酸化又は窒化等が挙げられるが、これらに限定されず、プロセスは、等方性、異方性、コンフォーマル、選択的、ブランケット等であってもよい。
【0028】
本発明で使用する場合、「エッチングモジュール」は、プロセスチャンバ内のワークピース上の膜、層、残留物、又は汚染物質の全部又は一部を除去するための任意の種類の処理ツールを指す。エッチングモジュールは、単一ウェーハツール、バッチ処理ツール、又はセミバッチ処理ツールであってもよい。エッチングモジュールで実施されてもよいエッチングの種類としては、例えば、化学的酸化物除去(COR:Chemical Oxide Removal)、ドライ(プラズマ)エッチング、反応性イオンエッチング、浸漬又は非浸漬技術を用いたウェットエッチング、原子層エッチング、化学的機械研磨、洗浄、アッシング、リソグラフィ等が挙げられるが、これらに限定されず、プロセスは、等方性、異方性、選択的等であってもよい。
【0029】
本発明で使用する場合、「モジュール」は、一般に、プロセスチャンバ、基板ホルダ及び移動機構、ガス供給及び分配システム、ポンプシステム、電気システム及びコントローラ等を含む、そのハードウェア及びソフトウェアの全てを集合的に有する処理ツールを指す。モジュールのこのような詳細は、当技術分野において既知であり、したがって、本明細書では議論されない。
【0030】
その最も広い意味において、本開示の実施形態は、ワークピース上で実施され、1つ以上の膜形成モジュールと1つ以上のエッチングモジュールと1つ以上の搬送モジュールとを含む複数の処理モジュールをホストする共通の製造プラットフォーム上で実行される統合的な処理工程のシーケンスに関する。統合的な処理工程のシーケンスは、ワークピースを共通の製造プラットフォームに受け取ることを含み、ワークピースは、マンドレル又はマンドレル線と呼ばれることもあるいくつかのフィーチャを含む、ワークピース上に形成されたマンドレルパターンを有する。フィーチャは、初期ピッチ距離によって分離されている。1つ以上の膜形成モジュールと1つ以上のエッチングモジュールとを用いて、側壁スペーサパターンが、マンドレルパターンに少なくとも部分的に基づいて形成される。側壁スペーサパターンは、マンドレルパターンのフィーチャの数の倍数を有する、即ち、ピッチ密度は増倍される。例えば、側壁スペーサパターンは、フィーチャの数又はピッチ密度の2倍、3倍、4倍、6倍、8倍等を有してもよい。側壁スペーサパターンのフィーチャは、第2ピッチ距離によって分離され、第1ピッチ距離は第2ピッチ距離よりも大きい、即ち、ピッチは減少する。例えば、第2ピッチ距離は、第1ピッチ距離の2分の1、3分の1、4分の1、6分の1、8分の1等であってもよい。統合的な処理工程のシーケンスは、共通の製造プラットフォーム内の制御された環境内で、制御された環境を離れることなく実行され、1つ以上の搬送モジュールは、ワークピースを制御された環境内に維持しながら複数の処理モジュール間でワークピースを搬送するために使用される。最も広い実施態様において、制御された環境は、共通の製造プラットフォームによって制御又は監視されていない周囲空気又は条件(例えば、温度、湿度)に曝されることなく基板104が曝されるあらゆる条件を含む。基板104を周囲空気又は他の制御されていない条件に曝すことは、真空破壊と呼ばれ得る。より狭い実施態様では、制御された環境は、基板104を、準大気圧条件下で、不活性ガス(例えば、N2、Ar)に、又は曝露した基板104の表面に対する変化を最小限にする任意のガスに曝すことに限定されてもよい。例えば、いくつかの場合では、トリートメント工程、計測工程、及び搬送工程を含む、共通の製造プラットフォーム上で実施される統合的なプロセスシーケンスは、完全に準大気圧で実施されてよい。しかしながら、他の実施形態では、統合的なプロセスシーケンスは、共通の製造プラットフォーム内の統合的なプロセス全体を完了するために、大気圧プロセス圧力又はこれよりも高い圧力を含み得る。この実施態様では、制御された環境は、基板104に対する変化を制限又は制御するために、不活性ガス環境内により広範な圧力(例えば、準大気圧、大気圧、又はこれより高い)を含んでもよい。このようにして、統合的なシーケンスが大気圧又はこれより高い圧力、及び準大気圧プロセス条件を含む場合、異なる圧力間の遷移は制御された環境内で起こる。
【0031】
以下では図面を参照するが、図面では、類似の参照符号は、複数の図面を通して同一の要素又は対応する要素を示す。
【0032】
図1A~
図1Dは、ワークピースの自己整合ダブルパターニング(SADP:self-aligned double patterning)方法の一実施形態を示し、
図2A~
図2Dは、
図1A~
図1Dの方法から継続して、自己整合クアドラプルパターニング(SAQP:self-aligned quadruple patterning)方法の一実施形態を示す。
図3は、
図1A~
図1D及び
図2A~
図2Dの方法に対応するプロセスフロー300のフローチャートである。
図4は、プロセスフロー300を実施するために使用してもよい本発明の共通の製造プラットフォームの実施形態を示す。
図3のプロセスフロー300及び
図4の共通の製造プラットフォーム400は、以下の、統合的な処理工程のシーケンスを進むワークピース100について説明する
図1A~
図1E及び
図2A~
図2Dの順次的な説明の全体を通して参照される。
【0033】
プロセスフロー300の操作302において、及び
図1Aに示すように、第1のマンドレルパターン110がその上に形成されたワークピース100が共通の製造プラットフォーム400に提供される。ワークピース100は、基板上にレジストが塗布され、露光され、第1のマンドレルパターン110を作製するリソグラフィプロセスが施された様々な材料の積層体を含んでもよい。その後、レジストパターンは、一連のプラズマ工程を経て、下地層に転写される。当業者には、基板上にマンドレルパターンを作成するための異なるスキームが知られており、このマンドレルは、シリコン、アモルファスカーボン、フォトレジストポリマー、酸化物、窒化物等の材料を含む有機マンドレルであっても硬質マンドレルであってもよい。このようなスキームの1つは、光学的又は有機平坦化層(OPL:optical or organic planarizing layer)、典型的にはスピンオン材料の堆積と、その後の、シリコン反射防止コーティング(SiARC:silicon anti-reflective coating)(同じくスピンオン)の堆積と、これに続く、レジストコーティング及びリソグラフィプロセスとを含む。別のスキームは、CVD堆積を用いたアモルファスカーボン層の堆積と、その後の、CVDプロセスを用いたSiON膜堆積と、その後の、スピンオンプロセスを用いた底面反射防止コーティング(BARC:bottom anti-reflective coating)堆積と、これに続く、レジストコーティング及びリソグラフィプロセスとを含む。簡略化のために、ワークピース100は、最終的なパターンが転写される下地層106をその上に有する基板104を有して示され、第1のマンドレルパターン110は下地層106上に形成されているが、第1のマンドレルパターン110がその上に形成される構造は、その下地層106が複数の層のうちの単なる1つである多層構造であってもよいことは理解され得る。一実施形態では、多層構造は、下地層106の上にマンドレルパターンを形成するためにパターニングされ、エッチングされたハードマスク層(図示せず)を含んでもよい。ハードマスク層は、第1のマンドレルパターン110を下地層106に転写するために用いられるフォトレジストマスキング層に代わるものである。特定の場合では、多層構造(下地層106)は、第1のマンドレルパターン110の所望のプロファイル又は寸法を得るために、フォトレジスト層が耐えることができないより強力なエッチングプロセス又は多段階のエッチングプロセスを必要とすることがある。別の実施形態では(図示せず)、第1のマンドレルパターン110は、共通の製造プラットフォーム400上で、操作302の後に、本明細書で開示されるパターニング技術のいずれかを用いて実施されるエッチングプロセスにより形成されてもよい。
【0034】
図4に示すように、搬送モジュール410aを使用して、共通の製造プラットフォーム400の制御された環境にワークピースを運び入れてもよい。この制御された環境は、プロセスフロー300全体を通して維持される。制御された環境は、真空を破壊することなくプロセスフロー300の各操作が行われる真空環境、又は大気圧よりも低い不活性ガス雰囲気、又はこれらの組み合わせを含んでもよい。単一の搬送モジュールが各処理モジュール又はツールの間に結合されてもよい、又は
図4に示すように、別個の搬送モジュール410a~hが各ツール搬送に対して使用されてもよい。搬送モジュール410a~hは、本明細書においては、必要に応じて、搬送モジュール410と集合的に呼ばれる場合がある。共通の製造プラットフォーム400上の異なる処理モジュールが、異なる制御された環境、例えば、1つのモジュールでの異なる真空圧力又は真空に続いて不活性ガス雰囲気を有するモジュールを必要とする場合、複数の搬送モジュール410を使用してもよく、搬送モジュール410は、異なる制御された環境間の移動の実施を支援する。単一の搬送モジュールは、同じタイプの処理モジュールが搬送モジュールの周りに円形に配置されているクラスター型のツールにおいては有用であり得るものの、
図4に示すような異なる処理モジュールタイプを有するエンドツーエンドプラットフォーム構成では複数の搬送モジュール410がより適切な場合がある。しかしながら、本明細書の実施形態は、処理モジュールのそれぞれに結合された単一の搬送モジュールを用いるエンドツーエンドプラットフォーム構成、又はそれらの中間の何らかの構成、例えば、シーケンスで使用される隣接する同一タイプの処理モジュールのための共通の搬送モジュールを排除するものではない。
【0035】
大量製造において周知のように、フロントエンドモジュール402aを使用して、ワークピースのカセット(図示せず)を装填し、ワークピースを順次並べて、これらをロードロックに挿入し、その後、制御された環境で搬送モジュール410aに挿入してもよく、搬送モジュール410aは、処理モジュールにワークピースを順次装填する。本発明の一実施形態の共通の製造プラットフォーム400では、操作302において、制御された環境に受け入れられたワークピース100が、搬送モジュール410aによって、共通の製造プラットフォーム400上にホストされた膜形成モジュール420に装填される。
【0036】
図1B及び
図3を参照すると、操作304において、膜形成モジュール420内で、第1の薄膜120が、第1のマンドレルパターン110及び下地層106の上にコンフォーマルに堆積される。第1の薄膜120は、酸化物、窒化物、シリコン、又はこれらの任意の組み合わせ、例えば、窒化ケイ素、酸化ケイ素、又は酸窒化ケイ素を含み得る。示されるように、共通の製造プラットフォーム400は、搬送モジュール410aの反対側に2つの同一の膜形成モジュール420を含んでもよい。プラットフォーム400の両側を対称にすることにより、2つのワークピースのエンドツーエンド処理を同時に達成することができ、1つの膜形成モジュール420が一時的に使用不能になった場合、プラットフォーム400は、少なくとも50%の能力で操作を継続することができる。
【0037】
その後、制御された環境を離れることなく、例えば、真空を破壊することなく、搬送モジュール410a及び搬送モジュール410bを使用して、同じく共通の製造プラットフォーム400上にホストされた第1エッチングモジュール430aなどのエッチングモジュール430にワークピース100を搬送する。例えば、搬送モジュール410aは、ワークピース100を膜形成モジュール420から取り出し、それを搬送モジュール410bに搬送し、その後、搬送モジュール410bがこのワークピースを第1エッチングモジュール430aに供給する。第1エッチングモジュール430aが、異なる真空圧力など、膜形成モジュール420と異なるパラメータで動作する場合、制御された環境に対する調整が搬送モジュール410a及び搬送モジュール410b内で行われてもよい。
図1C及び
図3を参照すると、操作306において、第1の薄膜120は第1エッチングモジュール430a内でエッチングされ、第1のマンドレルパターン110の側壁上に第1の薄膜120が残り、この残留薄膜120が第1の側壁スペーサ122を形成する。例えば、操作306は、第1のマンドレルパターン110の上面から、及び第1のマンドレルパターン110に隣接する下面から、例えば、下地層106から第1の薄膜120を除去することによって第1の側壁スペーサ122を作成する第1のスペーサの反応性イオンエッチング(RIE:reactive ion etch)プロセスであってもよい。この場合も、共通の製造プラットフォーム400は、搬送モジュール410bの反対側に2つの同一の第1エッチングモジュール430aを含んでもよい。
【0038】
その後、
図1D及び
図3を参照すると、同様に、制御された環境を離れることなく、例えば、真空を破壊することなく、操作308において、第1のマンドレルプルプロセスが実施される。第1のマンドレルプルプロセスは、第1のマンドレルパターン110を除去し、残留薄膜120を残して、第1の側壁スペーサ122を形成する。第1のマンドレルプルプロセスは、操作306において使用したものと同じエッチングモジュール430で又は共通の製造プラットフォーム400上にホストされた第2エッチングモジュール430bなどの別のエッチングモジュール430で実施されてもよい。第2エッチングモジュール430bが使用される場合、制御された環境を離れることなく第1エッチングモジュール430aから第2エッチングモジュール430bにワークピースを搬送するために、搬送モジュール410が使用される。示されるように、2つの搬送モジュール410b、410cを使用して搬送を行ってもよく、搬送モジュール410bは、ワークピースを第1エッチングモジュール430aから取り出し、それを搬送モジュール410cに搬送し、その後、搬送モジュール410cがワークピースを第2エッチングモジュール430bに供給する。第2エッチングモジュール430bが、異なる真空圧力など、第1エッチングモジュール430aと異なるパラメータで動作する場合、制御された環境に対する調整が搬送モジュール410b及び搬送モジュール410c内で行われてもよい。この場合も、共通の製造プラットフォーム400は、搬送モジュール410cの反対側に2つの同一の第2エッチングモジュール430bを含んでもよい。第1のマンドレルパターン110が除去されることにより、残った第1の側壁スペーサ122は、第1のマンドレルパターン110のフィーチャ又はマンドレルの数と比較して2倍の数のフィーチャと、第1のマンドレルパターン110の半分のピッチとを有する新たなフィーチャパターンを形成する。
【0039】
任意選択的に、更なるパターニング操作の前に、ワークピースを1つ以上の洗浄工程に供してもよい。例えば、洗浄は、操作308において使用したものと同じエッチングモジュール430で又は共通の製造プラットフォーム400上にホストされた第3エッチングモジュール430cなどの別のエッチングモジュール430で実施されてもよい。第3エッチングモジュール430cが使用される場合、制御された環境を離れることなく、例えば、真空を破壊することなく第2エッチングモジュール430bから第3エッチングモジュール430cにワークピースを搬送するために、搬送モジュール410が使用される。示されるように、2つの搬送モジュール410c、410dを使用して搬送を行ってもよく、搬送モジュール410cは、ワークピースを第2エッチングモジュール430bから取り出し、それを搬送モジュール410dに搬送し、その後、搬送モジュール410dがワークピースを第3エッチングモジュール430cに供給する。第3エッチングモジュール430cが、異なる真空圧力など、第2エッチングモジュール430bと異なるパラメータで動作する場合、制御された環境に対する調整が搬送モジュール410c及び搬送モジュール410d内で行われてもよい。この場合も、共通の製造プラットフォーム400は、搬送モジュール410dの反対側に2つの同一の第3エッチングモジュール430cを含んでもよい。一実施形態では、
図4に示すように、第3エッチングモジュール430cは、化学的酸化物除去を実施するためのCORツールである。
【0040】
図3の矢印310で示されるように、操作318において、新たなフィーチャパターンを形成する第1の側壁スペーサ122を使用して、新たなフィーチャパターンを下地層106に転写し、
図1Eにおける2倍のパターン108を形成してもよい。
図2A~
図2D及び
図3を参照して、以下、操作312~318で説明するように、
図1Eにおける2倍のパターン108は、第1のマンドレルパターン110を4倍にするための第2のマンドレルパターン222として使用してもよい。或いは、
図2A~
図2D及び
図3を参照して、以下、操作312~318で説明するように、
図1Dにおける新たなフィーチャパターンを形成する第1の側壁スペーサ122は、第1のマンドレルパターン110を4倍にするための第2のマンドレルパターン222として使用してもよい。
【0041】
図2A及び
図3を参照すると、操作312において、且つ同じく、制御された環境を離れることなく、例えば、真空を破壊することなく、第2の薄膜230が、第2のマンドレルパターン222及び下地層106の上にコンフォーマルに堆積される。第2の薄膜230は、酸化物、窒化物、又はシリコン、例えば、酸化チタンを含み得る。堆積は、操作304において使用したものと同じ膜形成モジュール420で又は共通の製造プラットフォーム400上にホストされた異なる膜形成モジュール422で実施されてもよい。真空を破壊することなく第3エッチングモジュール430cから(又は第3エッチングモジュール430cがない場合には第2エッチングモジュール430bから)膜形成モジュール422にワークピース100を搬送するために搬送モジュール410が使用される。示されるように、2つの搬送モジュール410d、410eを使用して搬送を行ってもよく、搬送モジュール410dは、ワークピース100を第3エッチングモジュール430cから取り出し、それを搬送モジュール410eに搬送し、その後、搬送モジュール410eがワークピース100を膜形成モジュール422に供給する。更に、示されるように、モジュールで処理されるワークピース100の数の変更がある場合、バッチ/デバッチモジュール424及び排出/再調整モジュール426が共通の製造プラットフォーム400上のプロセスフローに挿入されてもよい。一実施形態では、膜形成モジュール422は、セミバッチ堆積ツール、例えば、6ウェーハツールであり、エッチングモジュール430a~fは、単一ウェーハツールである。したがって、搬送モジュール410は、ワークピース100をバッチ配置のためにバッチ/デバッチモジュール424に順次搬送し、その後、セミバッチ(例えば、6つのワークピース)が、搬送モジュール410eによって膜形成モジュール422に搬送される。セミバッチが処理された後、搬送モジュール410eは、ワークピース100を排出/再調整モジュール426に搬送してワークピース100を再調整し、それらを、例えば、搬送モジュール410fによって次の単一ウェーハツールに順次搬送する。膜形成モジュール422が、異なる真空圧力など、第3エッチングモジュール430cと異なるパラメータで動作する場合、制御された環境に対する調整が搬送モジュール410d及び搬送モジュール410e並びにバッチ/デバッチモジュール424内で行われてもよい。この場合も、共通の製造プラットフォーム400は、搬送モジュール410eの反対側に2つの同一の膜形成モジュール422を含んでもよい。
【0042】
その後、制御された環境を離れることなく、例えば、真空を破壊することなく、搬送モジュール410fを使用して、同じく共通の製造プラットフォーム400上にホストされたエッチングモジュール430にワークピース100を搬送する。エッチングモジュール430は、操作306において使用したものと同じエッチングモジュール430、又は第4エッチングモジュール430dなどの別のエッチングモジュール430であってもよい。第4エッチングモジュール430dが、異なる真空圧力など、膜形成モジュール422と異なるパラメータで動作する場合、制御された環境に対する調整が搬送モジュール410e及び搬送モジュール410f並びに排出/再調整モジュール426内で行われてもよい。操作314において、第2の薄膜230がエッチングされ、第2のマンドレルパターン222の側壁上に第2の薄膜230が残り、この残留した第2の薄膜230が、
図2Bに示すように、第2の側壁スペーサ232を形成する。例えば、操作314は、第2のマンドレルパターン222の上面から、及び第2のマンドレルパターン222に隣接する下面から、例えば、下地層106から第2の薄膜230を除去することによって第2の側壁スペーサ232を作成する第2のスペーサの反応性イオンエッチング(RIE)プロセスであってもよい。
【0043】
その後、同様に、制御された環境を離れることなく、例えば、真空を破壊することなく、操作316において、第2のマンドレルプルプロセスが実施される。第2のマンドレルプルプロセスは、第2のマンドレルパターン222を除去し、残留薄膜230を残して、
図2Cに示すように、第2の側壁スペーサパターン232を形成する。第2のマンドレルプルプロセスは、操作308において使用したものと同じエッチングモジュール430で又は共通の製造プラットフォーム400上にホストされた第5エッチングモジュール430eなどの別のエッチングモジュールで実施されてもよい。第5エッチングモジュール430eが使用される場合、制御された環境を離れることなく第4エッチングモジュール430dから第5エッチングモジュール430eにワークピース100を搬送するために、搬送モジュール410が使用される。示されるように、2つの搬送モジュール410f、410gを使用して搬送を行ってもよく、搬送モジュール410fは、ワークピースを第4エッチングモジュール430dから取り出し、それを搬送モジュール410gに搬送し、その後、搬送モジュール410gがワークピース100を第5エッチングモジュール430eに供給する。第5エッチングモジュール430eが、異なる真空圧力など、第4エッチングモジュール430dと異なるパラメータで動作する場合、制御された環境に対する調整が搬送モジュール410f及び搬送モジュール410g内で行われてもよい。この場合も、共通の製造プラットフォーム400は、搬送モジュール410gの反対側に2つの同一の第5エッチングモジュール430eを含んでもよい。第2のマンドレルパターン222が除去されることにより、残った第2の側壁スペーサ232は、第1のマンドレルパターン110のフィーチャ又はマンドレルの数と比較して4倍の数のフィーチャと、第1のマンドレルパターン110の4分の1のピッチとを有する別の新たなフィーチャパターンを形成する。
【0044】
操作318において、第2の側壁スペーサ232を使用して、新たなフィーチャパターンを下地層106に転写し、
図2Dに示すような4倍のパターン236を形成してもよい。
図2A~
図2D及び
図3を参照して操作312~318で上述したように、
図2Cにおける4倍の側壁スペーサ232又は
図2Dにおける4倍のパターン236は、第1のマンドレルパターン110を8倍にするための第3のマンドレルパターン222として使用してもよい。操作318は、操作308の後に実施されるか操作316の後に実施されるかを問わず、共通の製造プラットフォーム400上のエッチングモジュール430で、制御された環境を離れることなく実施されてもよい、又は共通の製造プラットフォーム400を離れた後に実施されてもよい。共通の製造プラットフォーム400上で実施される場合、エッチングモジュール430a~f又は異なるエッチングモジュール(図示せず)を含む任意のエッチングモジュール430を使用してもよい。プロセスフロー300、又は共通の製造プラットフォーム400で実施されるプロセスフロー300の部分が完了すると、ワークピース100は、別のフロントエンドモジュール402bを通って共通の製造プラットフォーム400を出る。別のフロントエンドモジュール402bは、共通の製造プラットフォーム400上のモジュールのエンドツーエンド構成のバックエンドに位置しているが、フロントエンドモジュール402aと同一のものであってよい。フロントエンドモジュール402aと一般に反対のプロセスにおいて、ワークピース100は、搬送モジュール410hによってロードロック(ここで制御された環境が取り除かれる)に順次搬送され、その後、フロントエンドモジュール402bのカセット(図示せず)に搬送される。実質的に対称の状態で配置された共通の製造プラットフォーム400は、モジュールが使用不能になる必要がある場合に、共通の製造プラットフォーム400が低減された能力でなお動作することができる冗長性を提供するという利点を有する。
【0045】
一実施形態では、及び以下でより詳細に記載するように、共通の製造プラットフォーム400は、有利には、「能動的阻止システム」を含む。能動的阻止システムは、共通の製造プラットフォーム400上にホストされた搬送モジュール410内のワークピース測定領域、又は共通の製造プラットフォーム400上にホストされた統合的な計測モジュール(図示せず)を含む。ワークピース測定領域は、以下でより詳細に記載するように、搬送モジュールの専用領域410に位置してもよい。ワークピース測定領域又は計測モジュールは、測定データを収集するための検査システムを含んでもよい。以下でより詳細に記載するように、検査システムは、ワークピースの測定表面に入射する光ビームを導くための少なくとも1つの光源と、ワークピースの測定表面から散乱した光信号を受信するように構成された少なくとも1つの検出器と、を含んでもよい。能動的阻止システムは、共通の製造プラットフォーム400上にホストされたインテリジェンスシステムを更に含んでもよい。インテリジェンスシステムは、ワークピース測定領域又は計測モジュールからデータを収集し、プロセスフロー300などの、共通の製造プラットフォーム400上で実行される統合的な処理工程のシーケンスを制御するように構成されている。
【0046】
本発明の実施形態による能動的阻止のために、ワークピース測定領域又は計測モジュールは、半導体ワークピース上のフィーチャ又は層の属性(例えば、膜又はフィーチャ厚さ、フィーチャ深さ、表面粗さ、パターンシフト、ボイド、又は他の欠陥、選択性の喪失、横方向成長、均一性等)に関連するリアルタイムデータを「オンザフライで」収集し、このようなリアルタイムデータを使用して、共通の製造プラットフォーム400上にホストされた統合的な処理モジュールの統合動作変数を同時に制御する。例えば、以下、
図3の操作350~362を参照して説明するように、データは、後続のモジュールでこのワークピースに対して実施される操作を制御するために、及び/又は前のモジュールで後続のワークピースに対して実施される操作を制御するために、フィードバック及び/又はフィードフォワード式で使用され得る。一実施形態では、共通の製造プラットフォーム400は、補正モジュールを含み、補正モジュールは、膜形成モジュール420又は膜形成モジュール422、エッチングモジュール430、又はワークピース100に補正アクション又は改善処理を適用するのに適した他の種類のトリートメントモジュールであってもよい。
【0047】
従来の計測又はプロセス制御とは異なり、ワークピースは、制御された環境を離れてスタンドアロン計測ツールに入ることはなく、それにより酸化及び欠陥生成を最小限にし、測定は、データを取得するためにワークピースが犠牲にされないように非破壊であり、それにより生産高を最大化する。また、データは、生産時間に悪影響を及ぼすことを避け、ワークピース、又は共通の製造プラットフォーム400上で順次処理される後続のワークピースに対するプロセス中の調整を可能にするために、プロセスフローの一部としてリアルタイムで収集され得る。更に、測定は、膜形成又はエッチングモジュールでは実施されず、それにより、測定デバイスがプロセス流体に曝される場合の問題を回避する。例えば、搬送モジュールにワークピース測定領域を組み込むことによって、プロセスフローの遅延がほとんどなく、プロセス流体に曝されることなく、且つ制御された環境を離れることなく、例えば真空を破壊することなく、ワークピースが処理ツール間で移動する際にデータを取得することができる。「オンザフライ」データは、スタンドアロン計測ツールで実施される従来の破壊的方法で取得されるデータほど正確でない場合があるものの、プロセスフローに関するほぼ瞬間的なフィードバックと、プロセスフローを中断したり歩留まりを犠牲にしたりすることなくリアルタイム調整を行う能力は、大量製造では非常に有利である。
【0048】
図3のプロセスフロー300を更に参照すると、当該方法は、統合的な方法の全体を通した任意の様々な時点で、制御された環境を離れることなく、例えば、真空を破壊することなく、能動的阻止システムを使用して、ワークピースを検査すること、例えば、計測を実施すること、即ち、測定データを取得することを含んでもよい。ワークピースの検査は、ワークピースの1つ以上の属性を特徴付けることと、属性が目標条件を満たしているかどうかを判定することとを含んでもよい。例えば、検査は、属性に関連する測定データを取得することと、欠陥、膜の付きまわり、厚さ、均一性、及び/又は選択性条件がその条件の目標を満たしているかどうかを判定することとを含んでもよい。以下の説明では、測定データの取得に焦点を当てるが、共通の製造プラットフォームの制御された環境内で実施される他の検査技術もまた、本発明の範囲内であることを理解されたい。
【0049】
以下でより詳細に記載するように、能動的阻止システムは、共通の製造プラットフォーム400上に単一の計測モジュール若しくはワークピース測定領域を含んでもよい、又は共通の製造プラットフォーム400上に複数の計測モジュール若しくはワークピース測定領域を含んでもよい。各計測操作は、
図3の想像線で示されるように任意であるが、有利には、ワークピース100が仕様内であることを確実にし、欠陥及びEPEを低減するために、プロセスフロー内の1つ以上のポイントで実施され得る。一実施形態では、測定データは、共通の製造プラットフォーム上で行われる統合的な処理工程のシーケンスの各工程の後に取得される。測定データは、共通の製造プラットフォームを離れる前に補正モジュールでワークピースを補修するために使用してもよい、及び/又は後続のワークピースのために統合的な処理工程のシーケンスのパラメータを変更するために使用してもよい。
【0050】
広義には、側壁スペーサパターンの形成に関連する統合的な処理工程のシーケンス中に、制御された環境内で、測定データが取得されてもよく、この測定データに基づいて、側壁スペーサパターンの厚さ、幅、又はプロファイルが目標条件を満たしているかどうかの判定が行われてもよい。側壁スペーサパターンの厚さ、幅、又はプロファイルが目標条件を満たしていないと判定された場合、ワークピースは、側壁スペーサパターンを変更するために、共通の製造プラットフォーム上の補正モジュールで処理されてもよい。一実施形態では、側壁スペーサパターンの目標の厚さ、幅、又はプロファイルが満たされていない場合、側壁スペーサパターンは、(i)構造上に追加の材料を選択的に堆積させること、(ii)構造上に追加の材料をコンフォーマルに堆積させること、(iii)構造を再形成すること、(iv)構造をエッチングすること、(v)構造にドーパントを注入すること、(vi)構造の材料層を除去し、再塗布すること、又はこれらの2つ以上のいずれかの組み合わせによって補修されてもよい。
【0051】
一実施形態では、共通の製造プラットフォーム上の膜形成モジュールで塗布された薄膜の付きまわり又は均一性が、この薄膜の目標の付きまわり又は目標の均一性を満たしていない場合、薄膜を補修するために補正アクションが取られてもよい。コンフォーマルに塗布された薄膜の補修は、薄膜を除去すること、薄膜を再塗布すること、追加の薄膜をコンフォーマルに塗布すること、薄膜をエッチングすること、又はこれらの2つ以上の組み合わせにより行われてもよい。例えば、ワークピースは、薄膜を除去するために若しくは薄膜を部分的にエッチングするために補正エッチングモジュールに搬送されてもよい、及び/又はワークピースは、薄膜が除去された後にそれを再塗布するために又は既存の薄膜若しくは部分的にエッチングされた薄膜の上に追加の薄膜を塗布するために補正膜形成モジュールに搬送されてもよい。
【0052】
一実施形態では、共通の製造プラットフォーム上のエッチングモジュールで形成された側壁スペーサの厚さ、幅、又はプロファイルが側壁スペーサの目標の厚さ、幅、又はプロファイルを満たしていない場合、側壁スペーサを補修するための補正アクションが取られてもよい。側壁スペーサの補修は、側壁スペーサ上に追加の材料を選択的に堆積させること、側壁スペーサを再形成すること、側壁スペーサにドーパントを注入すること、又はこれらの2つ以上の組み合わせにより行われてもよい。例えば、ワークピースは、スペーサ材料を選択的に堆積させるために補正膜形成モジュールに搬送されてもよい、又は側壁スペーサ再形成プロセスを実施するために1つ以上の補正膜形成及び/又はエッチングモジュールに搬送されてもよい。
【0053】
補正モジュールは、共通の製造プラットフォーム上で補正モジュールとして指定された異なる膜形成モジュール及びエッチングモジュールであってもよい、又は共通の製造プラットフォーム上に統合された、熱アニーリングモジュールなどの別のタイプのトリートメントモジュールであってもよい、又は薄膜をコンフォーマルに塗布し、薄膜をエッチングし、マンドレルパターンを除去するために使用されるものと同じ膜形成モジュール及びエッチングモジュールであってもよい。
【0054】
ここで、任意の計測操作を伴う
図3のプロセスフロー300について詳述する。操作302は、第1のマンドレルパターンを有するワークピースを共通の製造プラットフォームに受け取ることを含む。操作350は、第1のマンドレルパターン及び/又はマンドレルパターンが形成され、最終的なパターンが転写される下地層の属性などの、投入されるワークピースの属性に関連する測定データを取得するために、任意選択的に計測を実施することを含み、この測定データは、操作304~318のうちのいずれか1つのプロセスパラメータを調整及び/又は制御するために使用してもよい。
【0055】
操作304は、共通の製造プラットフォーム上にホストされた膜形成モジュールを用いて、第1のマンドレルパターン上に第1の薄膜をコンフォーマルに塗布することを含む。操作352は、第1の薄膜、薄膜堆積の影響を受ける第1のマンドレルパターン、及び/又は薄膜堆積の影響を受ける、最終的なパターンが転写される下地層の属性などの、コンフォーマルな第1の薄膜が塗布されたワークピースの属性に関連する測定データを取得するために、任意選択的に計測を実施することを含み、測定データは、操作306~318のうちのいずれか1つのプロセスパラメータを調整及び/又は制御するために使用してもよく、操作302又は操作304で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、コンフォーマルに塗布された第1の薄膜を補修するために、ワークピースは補正モジュールに搬送されてもよい。例えば、第1の薄膜の付きまわり又は均一性が第1の薄膜の目標の付きまわり又は目標の均一性を満たしていない場合、薄膜を除去し、薄膜を再塗布すること、追加の薄膜をコンフォーマルに塗布すること、薄膜をエッチングすること、又はこれらの2つ以上の組み合わせなどの補正アクションが1つ以上の補正モジュールで取られてもよい。
【0056】
操作306は、第1の側壁スペーサを形成するために、共通の製造プラットフォーム上にホストされたエッチングモジュールを用いて、第1のマンドレルパターンの上面及び第1のマンドレルパターンに隣接する下面から(例えば、下地層から)第1の薄膜を除去すること(スペーサエッチングと呼ばれる)を含む。操作354は、第1の側壁スペーサ、スペーサエッチングの影響を受ける第1のマンドレルパターン、及び/又はスペーサエッチングの影響を受ける下地層の属性などの、第1の薄膜をエッチングして第1のマンドレルパターンの側壁上に第1の側壁スペーサを形成したワークピースの属性に関連する測定データを取得するために、任意選択的に計測を実施することを含み、測定データは、操作308~318のうちのいずれか1つのプロセスパラメータを調整及び/又は制御するために使用してもよく、操作302又は操作304~306で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、マンドレルパターンの側壁上の第1の側壁スペーサを補修するために、ワークピースは補正モジュールに搬送されてもよい。例えば、側壁スペーサの厚さ、幅、又はプロファイルが側壁スペーサの目標の厚さ、幅、又はプロファイルを満たしていない場合、側壁スペーサ上に追加の材料を選択的に堆積させること、側壁スペーサを再形成すること、側壁スペーサにドーパントを注入すること、又はこれらの2つ以上の組み合わせなどによって、補正アクションが1つ以上の補正モジュールで取られてもよい。
【0057】
操作308は、第1の側壁スペーサを残すために、共通の製造プラットフォーム上にホストされたエッチングモジュールを用いて、第1のマンドレルパターンを除去すること(マンドレルプルと呼ばれる)を含む。操作356は、マンドレルプルの影響を受ける第1の側壁スペーサ及び/又はマンドレルプルの影響を受ける下地層の属性などの、第1の側壁スペーサを有するワークピースの属性に関連する測定データを取得するために、任意選択的に計測を実施することを含み、測定データは、操作310~318のうちのいずれか1つのプロセスパラメータを調整及び/又は制御するために使用してもよく、操作302又は操作304~308で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、第1の側壁スペーサを補修するために、ワークピースは補正モジュールに搬送されてもよい。例えば、側壁スペーサの厚さ、幅、又はプロファイルが側壁スペーサの目標の厚さ、幅、又はプロファイルを満たしていない場合、側壁スペーサ上に追加の材料を選択的に堆積させること、側壁スペーサを再形成すること、側壁スペーサにドーパントを注入すること、又はこれらの2つ以上の組み合わせなどによって、補正アクションが1つ以上の補正モジュールで取られてもよい。
【0058】
SADP実施形態では、プロセスフロー300は、操作356を伴わずに又は操作356の後に、SADPフロー310を通って、後述する操作318に進んでもよい。
【0059】
操作312は、共通の製造プラットフォーム上にホストされた膜形成モジュールを用いて、第2のマンドレルパターンとして機能する第1の側壁スペーサ上に第2の薄膜をコンフォーマルに塗布することを含む。操作358は、第2の薄膜、薄膜堆積の影響を受ける第2のマンドレルパターン、及び/又は薄膜堆積の影響を受ける下地層の属性などの、コンフォーマルな第2の薄膜が塗布されたワークピースの属性に関連する測定データを取得するために、任意選択的に計測を実施することを含み、測定データは、操作314~318のうちのいずれか1つのプロセスパラメータを調整及び/又は制御するために使用してもよく、操作302又は操作304~308で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、コンフォーマルに塗布された第2の薄膜を補修するために、ワークピースは補正モジュールに搬送されてもよい。例えば、第2の薄膜の付きまわり又は均一性が第2の薄膜の目標の付きまわり又は目標の均一性を満たしていない場合、薄膜を除去し、薄膜を再塗布すること、追加の薄膜をコンフォーマルに塗布すること、薄膜をエッチングすること、又はこれらの2つ以上の組み合わせなどの補正アクションが1つ以上の補正モジュールで取られてもよい。
【0060】
操作314は、第2の側壁スペーサを形成するために、共通の製造プラットフォーム上にホストされたエッチングモジュールを用いて、第2のマンドレルパターンの上面及び第2のマンドレルパターンに隣接する下面から(例えば、下地層から)第2の薄膜を除去すること(スペーサエッチングと呼ばれる)を含む。操作360は、第2の側壁スペーサ、スペーサエッチングの影響を受ける第2のマンドレルパターン、及び/又はスペーサエッチングの影響を受ける下地層の属性などの、第2の薄膜をエッチングして第2のマンドレルパターンの側壁上に第2の側壁スペーサを形成したワークピースの属性に関連する測定データを取得するために、任意選択的に計測を実施することを含み、測定データは、操作316~318のうちのいずれか1つのプロセスパラメータを調整及び/又は制御するために使用してもよく、操作302又は操作304~314で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、第2のマンドレルパターンの側壁上の第2の側壁スペーサを補修するために、ワークピースは補正モジュールに搬送されてもよい。例えば、側壁スペーサの厚さ、幅、又はプロファイルが側壁スペーサの目標の厚さ、幅、又はプロファイルを満たしていない場合、側壁スペーサ上に追加の材料を選択的に堆積させること、側壁スペーサを再形成すること、側壁スペーサにドーパントを注入すること、又はこれらの2つ以上の組み合わせなどによって、補正アクションが1つ以上の補正モジュールで取られてもよい。
【0061】
操作316は、第2の側壁スペーサを残すために、共通の製造プラットフォーム上にホストされたエッチングモジュールを用いて、第2のマンドレルパターンを除去すること(マンドレルプルと呼ばれる)を含む。操作362は、マンドレルプルの影響を受ける第2の側壁スペーサ及び/又はマンドレルプルの影響を受ける下地層の属性などの、第2の側壁スペーサを有するワークピースの属性に関連する測定データを取得するために、任意選択的に計測を実施することを含み、測定データは、操作318のプロセスパラメータを調整及び/又は制御するために使用してもよく、操作302又は操作304~316で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、第2の側壁スペーサを補修するために、ワークピースは補正モジュールに搬送されてもよい。例えば、側壁スペーサの厚さ、幅、又はプロファイルが側壁スペーサの目標の厚さ、幅、又はプロファイルを満たしていない場合、側壁スペーサ上に追加の材料を選択的に堆積させること、側壁スペーサを再形成すること、側壁スペーサにドーパントを注入すること、又はこれらの2つ以上の組み合わせなどによって、補正アクションが1つ以上の補正モジュールで取られてもよい。
【0062】
上述したようなプロセスパラメータは、ガス流量;エッチャント、堆積反応物、パージガス等の組成;チャンバ圧;温度;電極間隔;電力等であるが、これらに限定されない処理モジュール内の任意の操作変数を含んでもよい。能動的阻止システムのインテリジェンスシステムは、検査システムから測定データを収集し、例えば、処理中のワークピースのために、後続の処理モジュールの処理パラメータに対してその場調整を行うことによって、又は後続のワークピースのために1つ以上の処理モジュールのプロセスパラメータを変更することによって、共通の製造プラットフォーム上で実行される統合的な処理工程のシーケンスを制御するように構成されている。したがって、取得された測定データは、統合的な処理工程のシーケンス中にワークピースに必要な補修を特定してワークピースを廃棄する必要を回避するために、及び/又は測定データが取得された後、同じワークピースで実施される工程のために、若しくは後続のワークピースを処理して、後続のワークピースに関して目標条件が満たされないことの発生を減少させるために、統合的な処理工程のシーケンスの処理パラメータを調整するために使用されてもよい。
【0063】
ここで
図5を参照すると、
図1A~
図1E、
図2A~
図2D及び
図3で前述したもののような、SAMPプロセスを実行するための共通の製造プラットフォーム500の別の実施形態が提供されている。なお、同様の部品を指すために同様の参照番号が用いられる。上述の
図4と同様に、共通の製造プラットフォーム500は、共通の製造プラットフォーム500の各端部に、共通の製造プラットフォーム500の内外にワークピース100を搬送するためのフロントエンドモジュール402a及びフロントエンドモジュール402bを含む。共通の製造プラットフォーム500は、共通の製造プラットフォーム500上にホストされた複数の処理モジュールの内外にワークピースを搬送するための複数の搬送モジュール410を含む。複数の処理モジュールは、1つ以上の堆積ツールなどの1つ以上の膜形成モジュール420と、1つ以上のドライエッチングツール、ウェットエッチングツール及び/又はCORツールなどの1つ以上のエッチングモジュール430と、を含む。示されるように、搬送モジュール410のうちの1つにそれぞれ結合された2つの膜形成モジュール420が含まれてもよく、2つの膜形成モジュール420は、同一タイプのツールであっても異なるタイプのツールであってもよい。更に示されるように、2つのエッチングモジュール430がいくつかの搬送モジュール410のそれぞれに結合された、複数のエッチングモジュール430が含まれてもよい。6つのエッチングモジュール430が示されているが、より少数又は多数のエッチングモジュール430が含まれてもよい。膜形成モジュール420は、操作304及び操作312を実施するために使用されてもよい。エッチングモジュール430は、操作306、操作308、操作314、及び操作316、並びに任意選択的に、操作318及び他の洗浄操作又はエッチング操作を実施するために使用されてもよい。処理モジュールのいずれも、ワークピースを補修するための補正モジュールとしての役割を果たしてもよい、又は補正アクションを実施するための追加の処理モジュールを追加してもよい。複数の処理モジュールは、概して、フロントエンドからバックエンドまでの2つのライン440、450を形成し、1つのライン440は搬送モジュール410の列の一方の側を下り、他方のライン450は搬送モジュール410の列の反対側を下る。
【0064】
一例では、単一のワークピース100がフロントエンドからバックエンドまでライン440を下って処理され、その後、フロントエンドに再び搬送され、再度、ライン450を下って処理される。したがって、パターンを2倍にするために、コンフォーマル堆積操作304、スペーサエッチング操作306、及びマンドレルプル操作308がライン440を下って実施され、その後、パターンを4倍にするために、コンフォーマル堆積操作312、スペーサエッチング操作314、及びマンドレルプル操作316がライン450を下って実施される。これにより、エンドツーエンドの共通の製造プラットフォーム500を下る2つのパスで操作を繰り返す。ライン450で操作を繰り返す前に第2のマンドレルパターンを洗浄又は補修するために、ワークピース100をフロントエンドに再び搬送する前に、ウェットエッチング又は補修プロセスが、ライン440の最後で(シーケンス内に示される第3エッチングモジュール430で又は膜形成モジュール若しくは他のトリートメントモジュール(図示せず)で)実施され得る。共通の製造プラットフォーム500を出る前に、4倍のパターンから酸化物を除去する又はパターンを補修するために、CORプロセス又は補修プロセスが、ライン450の最後で(シーケンス内に示される第3エッチングモジュール430で又は膜形成モジュール若しくは他のトリートメントモジュール(図示せず)で)実施され得る。或いは、ライン450に示される第3エッチングモジュール430において、適切なタイプのエッチングモジュールで、操作318が実施されてもよい。この例では、ライン440、450のバックエンドにある追加のエッチングモジュールは互いに異なっていてもよい。なぜなら、これらエッチングモジュール430のそれぞれは、統合的なプロセスフロー300の1つのステージでしかワークピースを順次処理しないからである。
【0065】
別の例では、2つのライン440、450は、時間的に一致した状態又は時間的にずれた状態のいずれかで独立して動作して、2つのワークピース100を同時に処理し、このそれぞれは、ライン440又はライン450のうちの1つをフロントエンドからバックエンドまで進み、その後、フロントエンドに再び搬送され、それぞれ同じライン440又はライン450を下って再び処理される。したがって、2つのワークピース100上のパターンを2倍にするために、コンフォーマル堆積操作304、スペーサエッチング操作306、及びマンドレルプル操作308が各ライン440及びライン450を下って実施され、その後、2つのワークピース100上のパターンを4倍にするために、コンフォーマル堆積操作312、スペーサエッチング操作314、及びマンドレルプル操作316がライン440及びライン450を下って実施される。これにより、エンドツーエンドの共通の製造プラットフォーム500を下る2つのパスで操作を繰り返す。操作を繰り返す前に第2のマンドレルパターンを洗浄又は補修するために、ワークピース100をフロントエンドに再び搬送する前に、洗浄エッチング又は補修プロセスが、第1のパスの最後で(シーケンス内に示される第3エッチングモジュール430で又は膜形成モジュール若しくは他のトリートメントモジュール(図示せず)で)実施され得る。共通の製造プラットフォーム500を出る前に、洗浄エッチング、補修プロセス、又はパターン転写エッチング(操作318)が、第2のパスの最後で(シーケンス内に示される第3エッチングモジュール430で又は膜形成モジュール若しくは他のトリートメントモジュール(図示せず)で)実施され得る。本例では、第3エッチングモジュール430(又は他のタイプの補正モジュール)は同一タイプのモジュールである。なぜなら、これらはそれぞれ、統合的なプロセスフロー300の1つより多いステージでワークピースを処理するからである。本例は、モジュールが使用不能になる必要がある場合に、共通の製造プラットフォーム500が50%の能力でなお動作することができる冗長性を提供するという利点を有する。
【0066】
一実施形態では、共通の製造プラットフォームは、マンドレルパターン上に薄膜をコンフォーマルに堆積させるための少なくとも1つの堆積モジュールと、スペーサエッチング及びマンドレルプルを実施するための少なくとも1つのエッチングモジュールと、統合的なプロセスフローの全体を通して制御された環境を維持しながらモジュール間でワークピースを搬送するための少なくとも1つの搬送モジュールと、を含む。有利には、少なくとも1つのエッチングモジュールは、1つがスペーサエッチング用、1つがマンドレルプル用の、少なくとも2つのエッチングモジュールを含む。更なる実施形態では、共通の製造プラットフォームは、ワークピースの1つ以上の属性に関連する測定データを取得するために、少なくとも1つのワークピース測定領域を含み、少なくとも1つのワークピース測定領域は、少なくとも1つの搬送モジュールの専用領域内に位置する、又は制御された環境内の、共通の製造プラットフォーム上にホストされた計測モジュール内に位置する。一実施形態では、共通の製造プラットフォームは、コンフォーマルに堆積された薄膜又は側壁スペーサの補修などの、ワークピースの補修を実施するための少なくとも1つの補正モジュールを含む。
【0067】
当業者には理解され得るように、共通の製造プラットフォームを通して本質的に連続するプロセスフロー、ゆえに、良好なスループットの一致を提供するために、共通の製造プラットフォーム上の処理モジュールの数及び配置並びに計測操作は、異なるモジュールにおいて操作を実行するのに必要な、異なるモジュールにおける処理時間に基づいて選択されてもよい。
【0068】
一実施形態では、共通の製造プラットフォーム上の複数の処理モジュール及び統合的なプロセスフローは、多色SAMPプロセスでの使用に適応させたものであり、異なる色(即ち、各色が異なるエッチングレートを表すように、異なる材料がそれぞれ異なるエッチング選択性を有する)の自己整合ブロック(SAB:self-aligned block)を用いて、精密なラインカットを可能にする。ある実施形態では、側壁スペーサパターンを形成するための統合的なプロセスフローは、それぞれ「Methods of Forming Etch Masks for Sub-Resolution Substrate Patterning」という名称の米国特許第9,818,611号明細書又は米国特許第10,020,196号明細書の実施形態に記載されているようなプロセス工程の任意のシーケンスを含み得る。このプロセス工程のシーケンスは、制御された環境を離れることなく共通の製造プラットフォームで実行される。更に、ダブルパターニング及びクアドラプルパターニングについて上記では詳述したが、共通の製造プラットフォーム上の複数の処理モジュール及び統合的なプロセスフローは、任意のマルチパターニングプロセスでの使用に適応させてよい。
【0069】
図6A~
図6Gは、ワークピースの自己整合トリプルパターニング(SATP:self-aligned triple patterning)方法の一実施形態を示し、
図7は、
図6A~
図6Gの方法に対応するプロセスフロー700のフローチャートである。
図4及び
図5は、上述のように、プロセスフロー700を実施するために使用してもよい本発明の共通の製造プラットフォームの実施形態を示す。
【0070】
プロセスフロー700の操作702において、及び
図6Aに示すように、第1のマンドレルパターン610がその上に形成されたワークピース600が共通の製造プラットフォーム400又は共通の製造プラットフォーム500に提供される。ワークピース600は、ワークピース100について前述したようなものであってもよい。同様に、簡略化のために、ワークピース600は、最終的なパターンが転写される下地層606をその上に有する基板604を有して示され、マンドレルパターン610は下地層606上に形成されているが、マンドレルパターン610がその上に形成される構造は、その下地層606が複数の層のうちの単なる1つである多層構造であってもよいことは理解され得る。
【0071】
図4及び
図5に示すように、搬送モジュール410又は搬送モジュール410aを使用して、共通の製造プラットフォーム400又は共通の製造プラットフォーム500の制御された環境にワークピース600を運び入れてもよい。この制御された環境は、プロセスフロー700全体を通して維持される。本発明の一実施形態の共通の製造プラットフォーム400又は共通の製造プラットフォーム500では、操作702において、制御された環境に受け入れられたワークピース600が、搬送モジュール410又は搬送モジュール410aによって、共通の製造プラットフォーム400上にホストされた膜形成モジュール420に装填される。
【0072】
図6B及び
図7を参照すると、操作704において、膜形成モジュール420内で、第1の薄膜620がマンドレルパターン610及び下地層606の上にコンフォーマルに堆積される。第1の薄膜620は、酸化物、窒化物、シリコン、又はこれらの任意の組み合わせ、例えば、窒化ケイ素、酸化ケイ素、又は酸窒化ケイ素を含み得る。
【0073】
その後、制御された環境を離れることなく、例えば、真空を破壊することなく、搬送モジュール410又は搬送モジュール410a及び搬送モジュール410bを使用して、共通の製造プラットフォーム400又は共通の製造プラットフォーム500上にホストされたエッチングモジュール430にワークピース600を搬送する。例えば、プラットフォーム400において、搬送モジュール410aは、ワークピース600を膜形成モジュール420から取り出し、それを搬送モジュール410bに搬送し、その後、搬送モジュール410bがこのワークピースを第1エッチングモジュール430aに供給する。操作706において、第1エッチングモジュール430又は第1エッチングモジュール430aで第1の薄膜620がエッチングされ、マンドレルパターン110の側壁上に第1の薄膜620が残り、この残留薄膜620が、
図6Cに示すように、第1の側壁スペーサ622を形成する。例えば、操作706は、第1の側壁スペーサ622を作成する第1のスペーサの反応性イオンエッチング(RIE)プロセスであってもよい。
【0074】
図6D及び
図7を参照すると、操作708において、且つ同じく、制御された環境を離れることなく、例えば、真空を破壊することなく、第2の薄膜630が、第1の側壁スペーサ622、マンドレルパターン610、及び下地層606の上にコンフォーマルに堆積される。第2の薄膜630は、酸化物、窒化物、シリコン、又はこれらの任意の組み合わせ、例えば、酸化チタンを含み得る。堆積は、操作704において使用したものと同じ膜形成モジュール420で、又は共通の製造プラットフォーム400若しくは共通の製造プラットフォーム500上にホストされた第2膜形成モジュール420若しくは第2膜形成モジュール422で実施されてもよい。真空を破壊することなく第1エッチングモジュール430から第2膜形成モジュール420又は第2膜形成モジュール422にワークピース600を搬送するために搬送モジュール410が使用される。共通の製造プラットフォーム500は、操作708に対応するために、ライン440及びライン450のそれぞれの、第1エッチングモジュール430と第2エッチングモジュール430との間に、膜形成モジュール420を追加するように修正できることに留意されたい。
【0075】
その後、制御された環境を離れることなく、例えば、真空を破壊することなく、1つ以上の搬送モジュール410を使用して、共通の製造プラットフォーム400又は共通の製造プラットフォーム500上にホストされた第2エッチングモジュール430にワークピース600を搬送する。操作710において、第2エッチングモジュール430で第2の薄膜630がエッチングされ、第1の側壁スペーサ622の側壁上に第2の薄膜630が残り、この残留した第2の薄膜630が、
図6Eに示すように、第2の側壁スペーサ632を形成する。例えば、操作710は、第2の側壁スペーサ632を作成する第2のスペーサの反応性イオンエッチング(RIE)プロセスであってもよい。
【0076】
その後、制御された環境を離れることなく、例えば、真空を破壊することなく、1つ以上の搬送モジュール410を使用して、共通の製造プラットフォーム400又は共通の製造プラットフォーム500上にホストされた第3エッチングモジュール430にワークピース600を搬送する。その後、操作712において、スペーサプルプロセスが実施される。スペーサプルプロセスは、第1の側壁スペーサ622を選択的に除去し、残留した第2の薄膜630を残して、
図6Fに示すように、第2の側壁スペーサ632及びマンドレルパターン610を形成する。スペーサプルプロセスは、操作706若しくは操作710において使用したものと同じエッチングモジュール430で、又は共通の製造プラットフォーム400若しくは共通の製造プラットフォーム500上にホストされた別のエッチングモジュール430で実施されてもよい。制御された環境を離れることなく1つのエッチングモジュールから別のエッチングモジュール430にワークピースを搬送するために1つ以上の搬送モジュール410が使用され、第3エッチングモジュール430が、異なる真空圧力など、第2エッチングモジュールと異なるパラメータで動作する場合、制御された環境に対する調整が搬送モジュール内で行われてもよい。第1の側壁スペーサ622が除去されることにより、残った第2の側壁スペーサ632及びマンドレルパターン610は、マンドレルパターン610のフィーチャ又はマンドレルの数と比較して3倍の数のフィーチャと、第1のマンドレルパターン610の3分の1のピッチとを有する新たなフィーチャパターンを形成する。
【0077】
図7の操作714において、第2の側壁スペーサ632及びマンドレルパターン610を使用して、パターンを下地層606に転写し、
図6Gにおける3倍のパターン608を形成してもよい。
【0078】
図3のプロセスフロー300と同様に、
図7のプロセスフロー700において、当該方法は、統合的な方法の全体を通した任意の様々な時点で、制御された環境を離れることなく、例えば、真空を破壊することなく、能動的阻止システムを使用して計測を実施することを含んでもよい。能動的阻止システムは、共通の製造プラットフォーム400又は共通の製造プラットフォーム500上に単一の計測モジュール若しくはワークピース測定領域を含んでもよい、又は共通の製造プラットフォーム400若しくは共通の製造プラットフォーム500上に複数の計測モジュール若しくはワークピース測定領域を含んでもよい。各計測操作は、
図7の想像線で示されるように任意であるが、有利には、ワークピース600が仕様内であることを確実にし、欠陥及びEPEを低減するために、プロセスフロー内の1つ以上のポイントで実施され得る。
【0079】
プロセスフロー300の説明で提供した詳細を重複させることなく、ここで、プロセスフロー700について、任意の計測操作と共に、簡潔に説明する。操作750は、マンドレルパターン及び/又はマンドレルパターンが形成され、最終的なパターンが転写される下地層の属性などの、投入されるワークピースの属性に関連する測定データを取得するために、任意選択的に計測を実施することを含み、この測定データは、操作704~714のうちのいずれか1つのプロセスパラメータを調整及び/又は制御するために使用してもよい。
【0080】
操作752は、第1の薄膜、薄膜堆積の影響を受けるマンドレルパターン、及び/又は薄膜堆積の影響を受ける、最終的なパターンが転写される下地層の属性などの、コンフォーマルな第1の薄膜が塗布されたワークピースの属性に関連する測定データを取得するために、任意選択的に計測を実施することを含み、測定データは、操作706~718のうちのいずれか1つのプロセスパラメータを調整及び/又は制御するために使用してもよく、操作702又は操作704で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、上述したように、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、コンフォーマルに塗布された第1の薄膜を補修するために、ワークピースは補正モジュールに搬送されてもよい。
【0081】
操作754は、第1の側壁スペーサ、スペーサエッチングの影響を受けるマンドレルパターン、及び/又はスペーサエッチングの影響を受ける下地層の属性などの、第1の薄膜をエッチングして第1のマンドレルパターンの側壁上に第1の側壁スペーサを形成したワークピースの属性に関連する測定データを取得するために、任意選択的に計測を実施することを含み、測定データは、操作708~714のうちのいずれか1つのプロセスパラメータを調整及び/又は制御するために使用してもよく、操作702又は操作704~706で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、上述したように、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、マンドレルパターンの側壁上の第1の側壁スペーサを補修するために、ワークピースは補正モジュールに搬送されてもよい。
【0082】
操作756は、第2の薄膜、薄膜堆積の影響を受けるマンドレルパターン、薄膜堆積の影響を受ける第1の側壁スペーサ、及び/又は薄膜堆積の影響を受ける下地層の属性などの、コンフォーマルな第2の薄膜が塗布されたワークピースの属性に関連する測定データを取得するために、任意選択的に計測を実施することを含み、測定データは、操作710~714のうちのいずれか1つのプロセスパラメータを調整及び/又は制御するために使用してもよく、操作702又は操作704~708で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、上述したように、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、コンフォーマルに塗布された第2の薄膜を補修するために、ワークピースは補正モジュールに搬送されてもよい。
【0083】
操作758は、第2の側壁スペーサ、スペーサエッチングの影響を受ける第1の側壁スペーサ、スペーサエッチングの影響を受けるマンドレルパターン、及び/又はスペーサエッチングの影響を受ける下地層の属性などの、第2の薄膜をエッチングして第1の側壁スペーサの側壁上に第2の側壁スペーサを形成したワークピースの属性に関連する測定データを取得するために、任意選択的に計測を実施することを含み、測定データは、操作712~714のうちのいずれか1つのプロセスパラメータを調整及び/又は制御するために使用してもよく、操作702又は操作704~710で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、上述したように、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、第1の側壁スペーサの側壁上の第2の側壁スペーサを補修するために、ワークピースは補正モジュールに搬送されてもよい。
【0084】
操作760は、スペーサプルの影響を受ける第2の側壁スペーサ、スペーサプルの影響を受けるマンドレルパターン及び/又はスペーサプルの影響を受ける下地層の属性などの、第2の側壁スペーサ及びマンドレルパターンを有するワークピースの属性に関連する測定データを取得するために、任意選択的に計測を実施することを含み、測定データは、操作714のプロセスパラメータを調整及び/又は制御するために使用してもよく、操作702又は操作704~712で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、3倍のフィーチャパターンを形成する第2の側壁スペーサ及び/又はマンドレルパターンを補修するために、ワークピースは補正モジュールに搬送されてもよい。
【0085】
本明細書に開示されるように、「計測モジュール」又は「測定モジュール」という用語は、パラメータのばらつきなどのワークピース上の様々な不適合又はばらつきを検出するか又は決定するために、又は何らかの種類の汚染などのワークピース上の欠陥を検出するか又は決定するために、ワークピース上で測定を行うことができるモジュール/システム/センサ/ツールを指す。本発明で使用する場合、「検査システム」という用語は、一般に、測定に関連するデータ又は信号を測定及び収集する測定プロセス又はモジュールのツール又はシステムを指す。本明細書に更に開示されるように、測定モジュールは、測定を行い、処理プラットフォームで使用するためのデータを提供する。「計測モジュール」及び「測定モジュール」という用語は、本明細書では同じ意味で用いられ、一般に、ワークピース並びにワークピース上に形成された層及びデバイスの処理を示すワークピースの属性を検出し、測定するために使用される測定又は計測又はセンシングツールを指す。
【0086】
様々な処理モジュール間でワークピースを移動させるために、共通の製造プラットフォームは、一般に、共通の製造プラットフォーム上にホストされ、処理モジュールと測定モジュールとの間でワークピースを移動させるように構成された1つ以上のワークピース搬送モジュールを組み込む。測定モジュールは、処理モジュールに類似するワークピース搬送モジュールと結合されてもよい。本発明のいくつかの実施形態では、本明細書に開示されるように、測定モジュール又はそれに対応する検査システムは、ワークピースが処理モジュール間を移動する際に測定又は計測を行うために、搬送モジュールと共に又は搬送モジュール内に組み込まれている。例えば、測定モジュール又はその一部分は、搬送モジュールの内部空間内に配置されてもよい。本明細書では、搬送装置と測定装置とを組み合わせたものを搬送測定モジュール(「TMM」(transfer measurement module))と呼ぶ。
【0087】
一実施形態では、処理チャンバと測定モジュールとの両方を含む共通の製造プラットフォームは、ワークピースの属性に関連する測定データを処理し、測定データを使用して、処理シーケンス内のワークピースの移動及び処理を制御するシステムによって能動的に制御される。本発明の実施形態によれば、制御システムは、測定データ及びその他のデータを用い、測定データに一部基づく補正処理を実施し、処理シーケンスの能動的阻止を提供し、不適合又は欠陥を補正する。より具体的には、能動的阻止制御システムは、共通の製造プラットフォーム上にホストされ、測定データに一部基づく補正処理を実施するように構成されており、ワークピースの補正処理は、不適合又は欠陥が検出された状況に対処するために、プロセスシーケンスの上流又は下流にあるプラットフォームの処理モジュールで実施されてもよい。本発明の一実施形態では、ワークピースは、例えば、真空下などの制御された環境に維持される。即ち、共通の製造プラットフォーム上で、処理モジュール及び測定モジュールは制御された環境内で動作し、ワークピース搬送モジュールは、制御された環境を離れることなく、処理シーケンス内の複数の処理モジュールと1つ以上の測定モジュールとの間でワークピースを搬送する。
【0088】
本発明で使用する場合、「能動的阻止」という用語は、一般に、ワークピースの属性に関するデータを取得し、それによって不適合又は欠陥、及び不適合又は欠陥を補正若しくは改善するための制御補正点(corrective aspects of the control)を検出するために、様々な製作プロセスに関する測定/計測データをリアルタイムで捕捉するために実装される制御システムを指す。能動的阻止制御システムは、データを使用して、処理シーケンス及び/又はプロセス工程を実施するモジュールの動作を能動的に変化させることにより、半導体製作プロセスにおける様々な不適合を補正及び改善する。したがって、能動的阻止制御システムは、プロセスを通してワークピースを移動させるために使用される1つ以上の搬送モジュール(例えば、参照番号410)とも接続する。能動的阻止制御システム(
図8の参照番号822及び
図9A~
図9Dの参照番号922、以下で詳細に説明する)は、データ収集及びデータ分析並びに製作プロセスにおける不適合の検出を調整し、更に、検出された不適合又は欠陥に対処するように、複数の処理モジュールのアクションを指示する。能動的阻止制御システムは、概して、本明細書に記載されるような1つ以上のコンピュータ又はコンピューティングデバイスによって実装される。1つ以上のコンピュータ又はコンピューティングデバイスは、本明細書において能動的阻止コンポーネントと総称される深層学習プログラム又は自律学習コンポーネントなどの特別に設計されたプログラムセットを動作させる。理解され得るように、能動的阻止制御システムは、様々な測定モジュールからのデータ収集とその後の分析とを連係させるための複数のプログラム/コンポーネントを組み込んでもよい。能動的阻止制御システムは、測定された様々な不適合/欠陥に対処して、不適合/欠陥を補正又は改善するために、共通の製造プラットフォーム内の複数の処理モジュールと接続する。それにより、能動的阻止制御システムは、処理モジュール及び処理シーケンスのうちの1つ以上を制御して、目標条件又は所定の閾値と呼ばれることもある本発明の所望の結果を達成する。
【0089】
能動的阻止制御システムはまた、不適合/欠陥が検出された場合に上流及び/又は下流の処理モジュールにワークピースを移動させるように搬送モジュールを制御する。即ち、検出されたものに応じて、本発明のシステムは、処理シーケンス内でワークピースを更に移動させてもよい、又は検出された不適合又は欠陥を補正する或いはこれに対処するために、補正モジュールに若しくは上流の処理モジュールにワークピースを案内してもよい。このように、フィードフォワードメカニズム及びフィードバックメカニズムが搬送モジュールを介して提供され、本発明の能動的阻止を提供する。更に、処理シーケンスは、将来のワークピースのために、上流又は下流で影響を及ぼされる可能性がある。
【0090】
本発明の能動的阻止特徴は、収集された測定/計測データを用いて、ウェーハ内でのランツーラン、ウェーハツーウェーハ、及びリアルタイムプロセス制御を使用した製造プロセスの性能、歩留まり、スループット、及び柔軟性を向上させる。測定データは、ワークピース/基板/ウェーハを制御された処理環境から取り出すことなく、処理中にリアルタイムで収集される。本発明の1つの特徴によれば、共通の製造プラットフォームにおいて、測定データは基板が例えば真空下などの制御された環境に留まっている間に捕捉されてもよい。即ち、ワークピース搬送モジュールは、制御された環境を離れることなく、複数の処理モジュールと測定モジュールとの間でワークピースを搬送するように構成されている。能動的阻止制御は、投入されるワークピース及びモジュール又はツール両方の状態特性に基づいて各ワークピースに対する最適なレシピを自動的に決定するために、フィードフォワードメカニズム及びフィードバックメカニズムと共に開発された多変量モデルベースのシステムを提供することができる。能動的阻止制御システムは、製作測定データ、プロセスモデル、及び高度な制御アルゴリズムを使用して、最終デバイスターゲットを強化する中間プロセスターゲットの動的な微調整を提供する。阻止システムは、本明細書に記載されるような類似のビルディングブロック、概念、及びアルゴリズムを使用して、共通の製造プラットフォーム上で、単一のチャンバ、プロセスツール、マルチツール、プロセスモジュール、及びマルチプロセスモジュールにわたるスケーラブルな制御ソリューションを可能にする。
【0091】
図8は、本発明の一実施形態を共通の製造プラットフォーム800上で実施するための別のシステムの概略図である。プラットフォーム800は、本発明の実施形態による能動的阻止制御システム822の制御下で統合的なワークピース処理及びワークピース測定/計測を実施するための複数の処理モジュール/システムを組み込む。
図8は、1つ以上のワークピース測定モジュールが1つ以上の搬送モジュールを介して1つ以上のワークピース処理モジュールと互いに結合されている本発明の一実施形態を示す。そのようにして、本発明の特徴によれば、ワークピースが共通の製造プラットフォーム内に留まっている間に、ワークピース、並びにワークピース上に形成された様々な薄膜、層、及びフィーチャの材料特性に関するものなどの、ワークピースの属性に関連する測定データを提供するために、ワークピースの検査が行われてもよい。本明細書に記載するように、測定及び分析は、エッチング又は堆積工程などの処理工程の完了時に即座に行われてもよく、収集された測定データは分析され、その後、ワークピース設計パラメータに対して仕様外若しくは不適合である又は欠陥を示す任意の測定値又はフィーチャに対処するために、共通の製造プラットフォーム内で使用されてもよい。ワークピースは、補正アクションを取るために共通の製造プラットフォームから取り出す必要はなく、むしろ、制御された環境下に留まることができる。
【0092】
図8を参照すると、共通の製造プラットフォーム800が図示される。プラットフォーム800は、製造プラットフォームに1つ以上のワークピースを導入するためのフロントエンドモジュール802を含む。周知のように、フロントエンドモジュール(FEM:front-end module)は、ワークピースを保持する1つ以上のカセットを組み込んでもよい。フロントエンドモジュールは大気圧に維持されてもよいが、清浄な環境を提供するために不活性ガスでパージされてもよい。したがって、ワークピースの1つ以上は、本明細書に記載するような1つ以上のロードロックチャンバ(図示せず)などを介して搬送モジュール810に搬送されてもよい。
図8の搬送モジュールは、搬送測定モジュール(TMM)であり、ワークピースからデータを捕捉するためにそこに統合された測定ツール又は検査システムを含む。複数のTMM810は、所望のシーケンスを通してワークピースの移動を提供するために接続されてもよい。搬送測定モジュール810は、複数の処理モジュールと結合されている。このような処理モジュールは、様々な異なる処理工程又は機能を提供してもよく、1つ以上のエッチングモジュール830、1つ以上の膜形成モジュール820、1つ以上の洗浄モジュール840、及び1つ以上の測定モジュール812a、812b、812c、812dを含んでもよい。本明細書に更に開示されるような本発明の実施形態によれば、測定モジュールは、各処理工程の前又は後に搬送モジュール810を介してアクセスされてもよい。一実施形態では、測定モジュール812c、812dなどの測定モジュールは、搬送モジュール810の外部に配置されており、様々な処理モジュールと同様に、ワークピースの挿入及び受け入れのためにアクセスされ、本明細書においては、計測モジュールと呼ばれ得る。計測モジュールは、共通の製造プラットフォーム800の制御された環境内に存在する。或いは、モジュール812a、812bなどの測定モジュール又は少なくともその一部は、対応する搬送モジュール内に配置されてもよい。より具体的には、測定モジュール812a、812bの全部又は一部は搬送モジュール810内に位置し、搬送プロセス中にワークピースが測定のために配置されてもよい測定領域を搬送モジュール810内に画定する。測定領域は、搬送モジュール810の専用領域内に位置し、ワークピースを配置するために、搬送モジュールの搬送機構によりアクセス可能である。記載したように、これにより、搬送モジュールは、本質的に、本明細書に記載するような搬送測定モジュール(TMM)になる。
【0093】
概して、搬送モジュールはその中に、搬送ロボットを収容するチャンバを画定する。搬送ロボットは、真空下で、様々なゲートバルブ及びアクセス又は搬送ポートを通して、様々な処理モジュール又は測定モジュールにワークピースを移動させることができる。共通の製造プラットフォーム800上に測定モジュールを維持することによって、測定モジュールは処理工程の1つ以上の間などに速やかにアクセスされ、仕様外の、或いは特定のワークピースのワークピース設計計画に不適合な任意のワークピースに対処するために又は検出可能な欠陥に対処するために使用される、必要な測定分析データをオンザフライで提供する。そのようにして、ファブリケータがシステム内の課題を早期に認識できるようにするためにリアルタイムデータが提供されることで、捕捉されたデータ並びに検出された不適合又は欠陥に応じて、後の処理工程、前の処理工程、及び/又は先の処理工程などの現在の処理シーケンスにおいて、改善アクションが取られてもよい。そのようにして、生産性及び効率を向上させることができ、プロセス監視の経費を低減させることができ、不合格の又は排出されたワークピースの形態である無駄な製品を低減させることができる。これらは全て、ファブリケータ又はデバイスメーカに大幅なコスト削減をもたらす。
【0094】
上述したように、能動的阻止制御システム822を組み込んだ本発明の一実施形態では、1つ以上の測定モジュールは、ワークピースの属性に関する測定データを提供するための処理モジュールと共に、共通の製造プラットフォーム上にホストされている。データは、不適合を検出するために、及び不適合が検出された場合にはワークピースの補正処理を実施するために、能動的阻止制御システム822によって使用される。不適合が検出された場合、補正処理は、プロセスシーケンスの上流及び/又は下流で実施される。
【0095】
図9Aを参照すると、ASDの方法の実施に適した例示的な共通の製造プラットフォーム900が図示されている。共通の製造プラットフォーム900は、集積回路及び他のデバイスを製作するために半導体基板を処理するための複数のモジュール及び処理ツールを組み込む。共通の製造プラットフォーム900は、処理モジュールと共に共通の製造プラットフォーム900内に組み込まれた1つ以上の計測/測定モジュールを組み込む。例えば、プラットフォーム900は、図示されるように、搬送モジュールに結合された複数の処理モジュールを組み込んでもよい。いくつかの実施形態では、測定モジュール又はツールもまた、少なくとも部分的に、搬送モジュール内に配置されている。したがって、ワークピースは処理され、その後、能動的阻止制御システムにより更に処理されるワークピースの属性に関連する様々な製作データを収集するために、測定モジュールに直ちに搬送されてもよい。能動的阻止制御システムは、処理モジュール及び測定モジュールからデータを収集し、ワークピースの選択的移動及び複数の処理モジュールのうちの1つ以上の制御を介して、共通の製造プラットフォーム上で実行されるプロセスシーケンスを制御する。更に、プラットフォーム900の処理システムは、共通の製造プラットフォーム900の制御された環境を離れることなく、搬送モジュールのチャンバ内、及び様々な処理モジュールと測定/計測モジュールとの間でワークピースを搬送してもよい。能動的阻止制御システムは、1つ以上の測定モジュールから取得したワークピース測定値から得られる情報を利用して、様々な処理モジュールの連続的なプロセスフローを制御する。更に、能動的阻止制御システムは、プラットフォーム900の連続的なプロセスフローを制御するために、処理モジュールのその場測定値及びデータを組み込む。制御された環境で取得された基板上の測定データは、本発明によるプロセスフロー制御及びプロセスの改善のために、単独で、又はその場処理モジュール測定データと組み合わせて利用してもよい。
【0096】
図9Aを再度参照すると、共通の製造プラットフォーム900は、制御された環境にワークピースを導入するためのフロントエンドモジュール902を含む。例示的なプラットフォーム900は、ワークピース搬送モジュール910の周囲にまとめられた、複数の処理モジュール920a~920dと、1つ以上の測定/計測モジュール916とを含む。共通の製造プラットフォーム900は、フロントエンドモジュール902に結合された、カセットモジュール904とロードロックチャンバ908とを含む。フロントエンドモジュール902は、概して、大気圧に維持されるが、不活性ガスでのパージにより清浄な環境が提供されてもよい。ロードロックチャンバ908は、中央ワークピース搬送モジュール910に結合されており、ワークピースを、プラットフォーム900の制御された環境での処理のために、フロントエンドモジュール902からワークピース搬送モジュール910に搬送するために使用されてもよい。
【0097】
ワークピース搬送モジュール910は、非常に低いベース圧力(例えば、5x10-8トル以下)に維持されてもよい、又は不活性ガスで常時パージされてもよい。本発明によれば、測定/計測モジュール916は、大気圧下で動作させても、真空条件下で動作させてもよい。一実施形態によれば、測定モジュール916は真空状態に保たれ、ウェーハは、プラットフォーム900内で処理され、真空を離れることなく測定される。本明細書に更に開示されるように、計測モジュールは、1つ以上の材料特性、又はワークピースの属性、及び/又はワークピース上に堆積された薄膜及び層若しくはワークピース上に形成されたデバイスの属性を測定することができる1つ以上の検査システム又は分析ツールを含んでもよい。本発明で使用する場合、「属性」という用語は、処理シーケンスの処理品質を反映する、ワークピース、ワークピース上の層、ワークピース上のフィーチャ又はデバイス等の測定可能なフィーチャ又は特性を示すために用いられる。したがって、属性に関連する測定データを使用し、能動的阻止制御システムによって測定データを他のその場処理データと共に分析することにより、プロセスシーケンスを調整する。例えば、測定された属性データは、補正処理を施す、ワークピース上の不適合又は欠陥を反映している。
【0098】
図9Aは、本質的に単一の測定モジュール716を示す。しかしながら、特定の共通の製造プラットフォーム900は、ワークピース搬送モジュール910などの1つ以上のワークピース搬送システムの周りに組み込まれた複数のこのような測定モジュールを組み込んでもよい。このような測定モジュール916は、処理モジュールのように搬送モジュール910を介してアクセスされるスタンドアロンモジュールであってもよい。このようなスタンドアロンモジュールは、概して、モジュールの測定領域内に配置されたワークピースに係合して、ワークピースの属性に関連するデータを測定するように構成された検査システムをその中に組み込む。
【0099】
本発明の代替的な実施形態では、測定モジュールは、搬送モジュール910によって画定される搬送チャンバの内部空間の専用領域内に位置する測定領域内に実装されてもよい。また更に、測定モジュールが組み込まれてもよく、この測定モジュールの少なくとも一部分は、ワークピース搬送モジュールの内部空間内に配置されており、測定モジュール又は測定モジュールの特定の検査システムの他の構成要素は、ワークピース搬送モジュールの外部に組み込まれ、開口部又は窓を介して、ワークピースが位置する又はワークピースが通過する測定領域を形成する内部空間の専用領域に接続される。
【0100】
本発明のシステム及びプラットフォームの測定モジュールは、ワークピースの属性に関連するデータを測定するように動作可能な1つ以上の検査システムを含む。このようなデータは、処理シーケンスの品質並びにワークピース上に形成されている層及びフィーチャ及びデバイスの品質を反映する1つ以上の属性に関連付けられてもよい。その後、収集された測定データは、処理モジュールのデータと共に、ワークピース又はワークピース層/フィーチャ上の様々な不適合及び/又は欠陥を検出するために、能動的阻止制御システムによって分析される。その後、システムは、プロセスシーケンス内の上流又は下流の処理モジュールなどでワークピースの補正処理を提供し、不適合又は欠陥を改善/補正し、全体的なプロセスを改良する。
【0101】
本発明の実施形態によれば、測定モジュール又はその検査システムによって取られた測定値、及び生成されたデータは、ワークピースの1つ以上の属性と関連付けられる。例えば、測定される属性としては、例えば、層厚さ、層の付きまわり、層の被覆範囲、ワークピース上の層のプロファイル、エッジ配置位置、特定のフィーチャのエッジ配置誤差(EPE)、クリティカルディメンション(CD)、ブロッククリティカルディメンション(CD)、グリッドクリティカルディメンション(CD)、ライン幅粗さ(LWR)、ラインエッジ粗さ(LER)、ブロックLWR、グリッドLWR、選択的堆積プロセスに関する特性、選択的エッチングプロセスに関する特性、物性、光学的性質、電気的性質、屈折率、抵抗、電流、電圧、温度、質量、速度、加速度、又はワークピース上に製作される電子デバイスに関連するこれらのいくつかの組み合わせのうちの1つ以上が挙げられ得る。本発明の測定データを生成するために測定される属性のリストは限定されず、ワークピースの処理及びデバイスの製作に使用される可能性のある他の属性データを含み得る。
【0102】
本明細書に更に記載されるように、属性データを提供するために使用される測定モジュール及び/又は検査システムは、本発明の測定及び計測を提供するためのいくつかの測定用のツール及び方法を実装してもよい。測定モジュール及び/又は検査システムは、光学的方法又は非光学的方法を含んでもよい。光学的方法としては、高分解能光学イメージング及び顕微鏡技術(例えば、明視野、暗視野、コヒーレント/インコヒーレント/部分的コヒーレント、偏光、Nomarski等)、ハイパースペクトル(マルチスペクトル)イメージング、干渉法(例えば、位相ずれ、位相変調、微分干渉コントラスト、ヘテロダイン、フーリエ変換、周波数変調等)、分光法(例えば、光学的発光、光吸収、様々な波長範囲、様々なスペクトル分解能等)、フーリエ変換赤外分光法(FTIR)反射光測定、スキャトロメトリ、分光エリプソメトリ、旋光分析、屈折計等が挙げられ得る。非光学的方法としては、電子的方法(例えば、RF、マイクロ波等)、音響的方法、光音響的方法、質量分析法、残留ガス分析法、走査型電子顕微鏡法(SEM)、透過型電子顕微鏡法(TEM)、原子間力顕微鏡法(AFM)、エネルギー分散型X線分光法(EDS)、X線光電子分光法(XPS)等が挙げられ得る。例えば、ワークピースの属性に関連するデータを測定するために使用される検査システムは、以下の技術又はデバイス、即ち、反射光測定、干渉法、スキャトロメトリ、プロフィロメトリ、エリプソメトリなどの光学薄膜測定;X線光電子分光法(XPS)、蛍光X線分析法(XRF)、X線回折法(XRD)、X線反射率法(XRR)などのX線測定;イオン散乱分光法、低エネルギーイオン散乱(LEIS)分光法、オージェ電子分光法、二次イオン質量分析法、反射吸収赤外分光法、電子ビーム検査、粒子検査、粒子計数デバイス及び検査、光学検査、ドーパント濃度計測、4点プローブなどの膜抵抗率計測、渦電流測定などのイオン散乱測定;マイクロバランス、加速度計測定、電圧プローブ、電流プローブ、熱測定用の温度プローブ、又はひずみゲージのうちの1つ以上を使用してもよい。本発明の測定データを生成するための測定技術又はデバイスのリストは限定されず、本発明によるワークピースの処理及びデバイスの製作に有用なデータを得るために使用される可能性のある他の技術又はデバイスを含み得る。
【0103】
測定モジュール及び/又は検査システムは、処理システムを通過する、製品ワークピース、又は非製品基板、即ちモニタリング用基板のいずれかを含む様々な基板又はワークピース構造に対して測定を行ってもよい。製品ワークピースに関しては、測定は、デバイスライク構造及びデバイスアンライク構造の両方である指定のターゲット構造、指定のデバイス領域、又は任意の領域に対して実施することができる。測定はまた、ワークピース上に作成された試験構造に対して実施してもよく、これらには、ピッチ構造、面積構造、密度構造等を含んでもよい。
【0104】
図9Aを再度参照すると、搬送チャンバ910に結合されているのは、半導体又はシリコン(Si)ワークピースなどの基板を処理するように構成された複数の処理モジュール920a~920dである。Siワークピースは、例えば、150mm、200mm、300mm、450mm、又は450mmよりも大きな直径を有することができる。様々な処理モジュール及び測定モジュールは全て、例えばバルブGを有する適切なゲートアクセスポートを介してワークピース搬送モジュール910と接続している。本明細書で開示される本発明の一実施形態によれば、第1処理モジュール920aは、ワークピースに対して処理工程を実施してもよく、第2処理モジュール920bは、ワークピース上に自己整合単層(SAM:self-aligned monolayer)を形成してもよい。第3処理モジュール920cは、適切な選択的堆積プロセスによってワークピース上に膜を堆積させてもよく、第4処理モジュール920dは、ワークピースを選択的にエッチング又は洗浄してもよい。
【0105】
搬送モジュール910は、処理モジュール920a~920dのいずれかの間で、次いで、特定の処理工程の前又は後のいずれかに計測モジュール916にワークピースを搬送するように構成されている。
図9Aは、隣接する処理チャンバ/ツール構成要素間のアクセスポートで分離を提供するゲートバルブGを更に示す。
図9Aの実施形態に示すように、処理モジュール920a~920d及び計測モジュール916は、ゲートバルブGによって搬送チャンバ910に直接的に結合されてもよく、このような直接結合により、本発明による基板のスループットを大きく改善することができる。
【0106】
共通の製造プラットフォーム900は、1つ以上のコントローラ又は制御システム922を含む。1つ以上のコントローラ又は制御システム922は、本明細書に開示されるような統合的な処理及び測定/計測プロセス中に、
図9Aに示す様々な処理モジュール及び対応する処理チャンバ/ツールを制御するために結合され得る。コントローラ/制御システム922は、1つ以上の更なるコントローラ/コンピュータ/データベース(図示せず)にも結合され得る。制御システム922は、ネットワークを介して、更なるコントローラ/コンピュータ又はサーバからセットアップ及び/又は構成情報を取得することができる。制御システム922を使用して、処理モジュール及び処理ツールのいずれか又は全てを構成及び実行し、様々な測定モジュールからデータを、処理モジュールからその場データを収集し、本発明の能動的阻止を提供する。コントローラ922は、処理モジュール及びツール構成要素のいずれか又は全てからのデータを収集、提供、処理、保存、及び表示する。制御システム922は、本明細書に更に記載されるように、測定されたデータ及びその場処理データを分析するための、並びに本発明の能動的阻止を提供するための深層学習ネットワーク、機械学習アルゴリズム、自律学習アルゴリズム、及び他のアルゴリズムなどのアルゴリズムを実装するためのいくつかの異なるプログラム及びアプリケーション及び処理エンジンを含むことができる。
【0107】
本明細書に更に記載されるように、能動的阻止制御システム922は、マイクロプロセッサ、適切なメモリ、及びデジタルI/Oポートを有する1つ以上のコンピュータデバイスに実装することができ、通信し、プラットフォーム900の様々なモジュールへの入力をアクティブにし、プラットフォーム900上で実行される基板処理システムと情報を交換するのに十分な制御信号及び電圧を生成することができる。制御システム922は、プラットフォームを実行するために、プラットフォーム900の処理システムからの出力に加えて、プラットフォームの様々な測定モジュールからの測定データを監視する。例えば、所望の統合的なワークピース処理を実施するために、制御システム922のメモリに格納されたプログラムを用いて、プロセスレシピ又はシーケンスに従い、様々な処理システム及び搬送システムへの入力をアクティブにしてもよい。
【0108】
制御システム922はまた、処理モジュールによって出力された測定データとその場処理データとを用いて、ワークピースの不適合又は欠陥を検出し、補正処理を行う。本明細書に記載するように、制御システム922は、プロセッサがメモリ内のプログラムに含まれる1つ以上の命令の1つ以上のシーケンスを実行したことに応答して本発明のマイクロプロセッサベースの処理工程の一部又は全部を実施する汎用コンピュータシステムとして実装されてもよい。このような命令は、ハードディスク又はリムーバブルメディアドライブなどの別のコンピュータ可読媒体から制御システムのメモリに読み込まれてもよい。メモリに含まれる命令のシーケンスを実行するために、マルチプロセッシング構成の1つ以上のプロセッサもまた、制御システムのマイクロプロセッサ要素として用いてよい。別の実施形態では、本発明を実施するために、ハードワイヤード回路を、ソフトウェア命令の代わりに、又はソフトウェア命令と組み合わせて使用してもよい。したがって、実施形態は、本明細書に記載するような本発明の計測ドライバプロセスを実行するために、ハードウェア回路及びソフトウェアの任意の特定の組み合わせに限定されない。
【0109】
能動的阻止制御システム922は、プラットフォーム900に対してローカルに配置されてもよい、又はプラットフォーム900に対してリモートに配置されてもよい。例えば、コントローラ922は、直接接続、イントラネット接続、インターネット接続、又は無線接続のうちの少なくとも1つを使用して、プラットフォーム900とデータを交換してもよい。制御システム922は、例えば、カスタマーサイト(即ち、デバイスメーカ等)のイントラネットに接続されてもよい、又は例えば、ベンダーサイト(即ち、機器製造業者)のイントラネットに接続されてもよい。加えて、例えば、制御システム922は、適切な有線接続又は無線接続を介して他のシステム又はコントロールに接続されてもよい。更に、別のコンピュータ(即ち、コントローラ、サーバ等)が、イントラネット接続及び/又はインターネット接続などの、直接有線接続又は無線接続のうちの少なくとも1つを介して、例えば、制御システム922にアクセスし、データを交換してもよい。また、当業者には理解されるように、制御システム922は、適切な有線接続又は無線接続を介して、共通の製造プラットフォーム900のモジュールとデータを交換する。処理モジュールは、処理チャンバ及びツール並びにモジュールのサブシステムを制御するための入力データを取り、処理シーケンス中に、プロセスパラメータ及びプロセスメトリックに関するその場出力データを提供する独自の個別制御システム(図示せず)を有してもよい。
【0110】
図9A及び
図9Bを特に参照すると、及び一実施形態によれば、搬送モジュール910に結合された、プラットフォーム900上の別個のモジュールである測定/計測モジュール916において測定データが取得されてもよい。概して、搬送モジュール910は、チャンバを有し、チャンバは、処理シーケンスにおいて、ワークピースを、チャンバの内部空間を通してハンドルし、移動させ、処理モジュールに出入りさせる1つ以上の搬送機構又はロボット914を組み込む。
【0111】
より具体的には、搬送機構914は、制御された環境を画定し得る搬送モジュール910の内部空間913の内部に配置されており、ワークピースを、内部空間及び環境を通して移動させ、複数の処理モジュール920a~920d及び測定モジュール916に選択的に出入りさせるように、又は測定検査システムがデータを測定するために、内部空間の専用領域内の測定領域に出入りさせるように構成されている。本発明の1つの特徴によれば、搬送モジュール910の内部空間913と処理モジュール920a~920d及び測定モジュール916とは、共通の製造プラットフォーム900上で互いに結合されているので、全般的に、測定及び処理シーケンスの大部分又は全てを通して、ワークピースに対する制御された環境が維持され得る。このような制御された環境は、搬送モジュール又は測定モジュール内の真空環境又は不活性ガス雰囲気を含み得る。
【0112】
搬送モジュール910は、それぞれ適切なゲートGを備える複数のアクセスポート又はサイドポートを含み、それを通して、ワークピースを複数の処理モジュール920a~920dに及び複数の処理モジュール920a~920dから移動させる。プラットフォーム900上で効率的なスループットのために必要な処理シーケンスを提供するために、複数の処理モジュール920a~920dは、1つ以上のエッチングモジュールと1つ以上の膜形成又は堆積モジュールとを含む共通プラットフォーム上で様々なワークピース処理工程をハンドリングするモジュールを含む。
図7Aに示すように、測定モジュール916はまた、適切なゲートGを介してサイドポート又はアクセスポートのうちの1つにおいて搬送モジュール910と結合されている。他の実施形態では、測定モジュールは、搬送モジュールの頂部に形成されたポートで搬送モジュールと結合されている。本明細書に記載されるような別の更なる実施形態では、搬送モジュールは測定モジュールとしての役割も果たし、測定データを捕捉するための測定モジュールの少なくとも一部分は、搬送モジュールの内部空間の内部に組み込まれている又は配置されている。
図9C~
図9Dに示すような、このような実施形態の搬送測定モジュール(TMM)は、搬送モジュールの内部空間の専用領域内に位置する測定領域を含む。
【0113】
能動的阻止制御システム922は、処理シーケンスにおいて、処理モジュールのうちの1つ以上と測定/計測モジュール916との間で基板が移動する際にほぼオンザフライでワークピース測定データを収集する。データは、捕捉され、その後、不適合及び欠陥を検出し、本明細書に記載するような補正処理を提供するために、分析及び処理される。能動的阻止制御システム922は、検出された不適合/欠陥を補正するために、実施される様々な製作処理工程に対して制御調整を行うために、シーケンスの処理工程の必要な制御を提供する。調整は、捕捉された測定データに先行する若しくはその上流のプロセス工程及び処理モジュール、並びに/又は測定データに後続する若しくはその下流のプロセス工程に対して順に行ってもよい。或いは、適切な補正アクション又は補正処理は、救済できないワークピースに対して更なる時間及び材料を無駄にしないように、プラットフォーム900からワークピースを排出することを含んでもよい。
【0114】
図9Bを参照すると、共通の製造プラットフォーム900上で実行される処理シーケンスに関して、ワークピース上でリアルタイムで測定を行うための検査システム930を組み込む1つの例示的な測定モジュール916が図示されている。
【0115】
本明細書に記載するように、検査システム930は、ワークピースの属性に関連するデータを測定する。検査システム930は、測定信号934をワークピース936に向けて導く1つ以上の信号源932を組み込む。入射信号934は、ワークピース936の表面から反射又は散乱され、散乱した信号935は検出器940によって捕捉される。検出器940は測定データ950を生成し、測定データ950は、本明細書に記載されるように、その後、能動的阻止制御システム922に案内されてもよい。一実施形態では、ワークピース936は、測定信号934をワークピース936上の様々な適切な位置に導くことができるように、
図9Bの矢印によって示されるように横方向及び上下に並進し、回転してもよい測定プラットフォーム938上に、搬送機構914によって配置される。
【0116】
即ち、
図9Bの実施形態では、測定モジュールは、測定モジュール916内に配置されたワークピース936を支持するための別個の支持機構938を含む。検査システムは、支持機構上に支持されたワークピースの属性に関連するデータを測定するために、支持機構938に係合する。このような状況では、測定モジュール916内の支持機構938は、概して、ワークピース936を移動させて支持機構上に配置する搬送機構から分離されている。
【0117】
別個の支持機構は、本明細書に記載するようなワークピース936の属性に関連するデータを測定するために、垂直移動及び/又は水平移動などによってワークピース936を並進させ、また、少なくとも2自由度を提供するために、ワークピース936を回転させてもよい。支持機構はまた、ワークピースの温度を制御するための温度制御要素をその中に組み込んでもよい。したがって、
図9Bの実施形態では、支持機構は、ワークピース936が搬送機構によってその上に配置された後、データの測定に必要なワークピース936の支持及び移動を提供する。代替的な実施形態では、搬送機構は、ワークピース936の属性に関連するデータを測定するための検査システム930と係合させるために、ワークピース936を支持し、移動させる機能を提供してもよい。
【0118】
捕捉された測定データ950は、その後、制御システム922に案内され、測定されるワークピースに対する特定のアクションを決定するために、更に評価及び分析されてもよい。測定されたパラメータが所望の設計及び製作プロセスの仕様の範囲内であること及び/又は対応に値する(actionable)欠陥の検出がないことを測定データが示す場合、ワークピースは、プラットフォーム900内のプロセスフローを通常通りに進んでもよい。或いは、ワークピースの補正又は改善が不能であることを測定データ950が示す場合、このワークピースは、更なる処理から排除されてもよい。或いは、本発明の実施形態によれば、能動的阻止制御システム922は、現在のワークピースを補正するために、且つまた、プラットフォーム900上で後に処理される他のワークピースでの補正アクションの必要を防止するために、データを分析し、そのワークピースのために取るべき又は全体的なプロセスフローの様々なプロセス工程で行うべき1つ以上の補正工程として補正処理を提供してもよい。具体的には、
図9Bを参照すると、能動的阻止制御システム922は、プロセスフローに補正をもたらすための1つ以上の処理工程及び処理コンポーネントをその中に組み込んでもよい。まず、ブロック954によって示されように、必要な測定データ950が捕捉され、前処理されてもよい。次に、ブロック956によって示されるように、捕捉されたデータ、並びに処理モジュール及びプロセス工程のうちの1つ以上に関連する任意のその場処理データに対し、モデリング及びデータ分析が行われる。モデリング及び分析では、深層学習及び自律学習プログラム及びコンポーネントを含む人工知能を利用してもよい。次に、分析は、処理工程及び処理モジュールのうちの1つ以上が、プラットフォーム製作の全体設計に対して仕様外である層及びフィーチャの認識された又は検出された不適合又は欠陥を補正又は改善するように制御される、補正プロセス制御を提供してもよい。ブロック958の補正プロセス制御は、処理工程又は処理モジュールのうちの1つ以上に対して提供されてもよく、望ましい設計による全体的な基板製作内で、測定データ950の捕捉に時間的に先行する(上流)1つ以上の処理工程に適用されてもよい、又は測定データ950の捕捉に後続する(下流)プロセス工程の1つ以上に適用されてもよい。能動的阻止制御システム922、並びにブロック954、956、及び958によって示されるそのプロセスは、制御システム922及び/又はそのシステムのコンポーネントの1つ以上のコンピュータによって実行されるソフトウェアに組み込まれてもよい。
【0119】
本発明の実施形態によれば、測定データを取得するための検査システムは、測定される属性又は測定の種類に応じて接触測定若しくは計測又は非接触測定若しくは計測を実施することにより、ワークピースに係合する。接触測定及び非接触測定両方の組み合わせを使用してもよい。検査システムの位置に応じて、検査システムの一部は、モジュールの内部空間又はチャンバ内に部分的に又は全体的に配置されてもよい。本明細書に開示される
図9Aの実施形態では、専用の測定モジュール916は、検査システムを完全に収容してもよい。或いは、測定モジュールの一部は、測定モジュールの別の部分がチャンバの外部に配置された状態で、ワークピース搬送モジュールの内部空間内などのチャンバの内部空間の内部に配置されてもよい。このような実施形態は、例えば
図9Dに示されており、この図では、搬送チャンバ内部空間の専用領域内に位置する測定領域を使用する搬送測定モジュールが図示され、検査システムは、ワークピースの属性に関連するデータを測定するための測定領域内に配置されたワークピースに係合するように構成されている。
【0120】
ワークピース936を保持する支持機構938又は搬送機構914は、ワークピース936上の様々な領域の測定を提供するために並進及び回転してもよい。そのようにして、測定データは、ワークピース全体の様々な部分又は区分で捕捉されてもよい。したがって、連続測定又はポイント毎の測定が可能なことにより、全体的な測定時間及び処理時間が減少する。
【0121】
例えば、検査システムは、1平方センチメートルに等しい又はこれを超えるワークピースの部分にわたってデータを測定する。或いは、検査システムは、ワークピースの作業表面積の90%に等しい又はこれを超えるワークピースのかなりの部分を測定する又は画像化する。上述のように、検査システムは、ワークピースの作業面上の複数の離散的な位置で測定を実施してもよい、又はワークピースの一部分にわたって連続的な測定シーケンスを実施してもよい。例えば、検査システムは、ワークピースを横切って又は部分的に横切って延びる経路に沿って測定を実施してもよい。このような経路としては、線、一連の線、円弧、円曲線、螺旋曲線、アルキメデス螺旋、対数螺旋、黄金螺旋、又はいくつかのこれらの組み合わせが挙げられ得る。また、信号源/検出器ペア932、940がそれぞれ、異なる検査システムからの異なる検査信号であり得ると共に、異なる形態の信号であり得るいくつかの検査システムが存在してもよい。例えば、検査システムに応じて、1つの信号源/検出器ペア932、940は光信号を使用してもよく、他の信号源/検出器ペア932、940は電磁信号を使用してもよい。
【0122】
検査システムは、本明細書に記載するような測定モジュール内又は搬送測定モジュールの専用領域内にワークピースがある間に、ワークピースの属性の測定を複数実施することができる。測定は、時間的に同時に行われてもよい。即ち、異なる検査システムが同時に測定を行ってもよい。或いは、様々な検査システムが異なる時に動作してもよい。例えば、1つの種類の測定又は検査システムのための1つの位置にワークピースを移動又は配置し、その後、同じ又は異なる種類の検査システムによる別の測定のためにワークピースを移動又は配置することが必要な場合がある。
【0123】
検査システムは、非接触測定及び計測を提供するための非接触システムであってもよい。或いは、測定モジュール又は搬送測定モジュールの1つ以上の検査システムは、接触センサを使用してもよく、接触センサは、測定を行うために、ワークピースの表面に移動され、位置付けられてもよい。本発明により提供される検査システムは、ワークピースの属性に関連する測定データを収集するために、接触検査システムと非接触検査システムとの組み合わせを組み込んでもよい。
【0124】
前述したように、測定モジュール又は搬送測定モジュールに実装されている検査システムは、支持機構又はワークピース搬送機構がワークピースを移動させて検査システムと係合させ、ワークピースの異なる領域で測定が行われる間、静止していてもよい。或いは、検査システム930又はその一部は、ワークピース支持機構938、ワークピース搬送機構914、及びモジュールに対して移動可能である。検査システムは、ワークピースの領域から測定データを取得するために、静止したワークピースに対して並進する及び/又は回転するように構成されてもよい。
【0125】
本発明の他の実施形態では、検査システムは、ワークピース支持機構に埋め込まれていても、ワークピース支持機構の一部であってもよい。検査システム930は、支持機構938上に取り付けられていても支持されていてもよい。したがって、ワークピースが支持機構上に配置されると、ワークピースは、検査システムによる係合に適した位置にあることになる。検査システム930は、例えば、ワークピースの質量測定又は温度測定に関連する測定データを提供するために、配置されたワークピースの下に置かれる或いはこれに隣接するように、支持機構に埋め込まれていてもよい。
【0126】
図9Cは、通過中にワークピースから測定データが収集され得る測定領域を形成するための専用領域を用いる、本発明の一実施形態による搬送モジュール910’を組み込んだ共通の製造プラットフォーム900’を示す。そのようにして、本明細書に記載されているように、ワークピースは、真空環境などの制御された環境内に留まっている間に、処理し、測定することができる。ワークピースは、プロセスがどのように進行しているかを判断するために、及び不適合又は欠陥を検出するために、プラットフォーム900’の環境を離れる必要はない。したがって、
図9Cに示すような実施形態は、1つ以上の処理モジュールと共に又は共通の製造プラットフォームの一部として利用され得る搬送測定モジュール(TMM)を形成する。更に、複数の搬送測定モジュールは、連係し、より大きな共通の製造プラットフォームを形成するように、一緒に利用され、接続されてもよい。
【0127】
搬送測定モジュール(TMM)内に組み込まれた検査システムは、本明細書に記載されている他の検査システム内で、これと同様に動作する。
図9Dに示すこのような検査システムは、例えば、特定の検査システムのみを示す。しかしながら、上で記載したような他の検査システム及び特徴もまた、
図9Cに示す搬送機構モジュールに適用可能である。したがって、
図9C~
図9Dでは、本明細書で前述したような、いくつかの共通の参照番号が用いられる。
【0128】
プラットフォーム900’は、測定/計測データを提供するワークピース搬送モジュール910’を組み込む。搬送測定モジュール(TMM)910’は、搬送チャンバ913の内部空間内にハンドリングロボット914の形態などのワークピース搬送機構を含む。搬送機構914は、プラットフォーム900と同様に、1つ以上の又はそれ以上のワークピースを、搬送モジュール910’を通して、共通の製造プラットフォーム内の、搬送モジュール910’に結合された様々な処理モジュールの間で移動させるように動作可能である。本発明の1つの特徴によれば、搬送チャンバ913は、測定のために使用される専用領域を含む内部空間を画定する。TMM910’の測定領域915は、専用領域内に位置している。測定領域/エリア915は、測定のために1つ以上の検査システム930の近傍にある。
【0129】
より具体的には、測定領域915は、ワークピースを、プロセスシーケンスを通して移動させ、様々な処理モジュールに出入りさせる際に、搬送測定モジュールの主目的を妨げないように、搬送チャンバ913内に配置されている。測定領域は、測定のためにワークピースを配置するための1つ以上の位置を画定する。そのために、1つ以上の検査システムは、搬送チャンバ913の測定領域内に配置されたワークピースに係合するように構成されている。その後、本発明によれば、検査システムは、ワークピースの属性に関連するデータを測定するように動作可能である。本明細書で開示された検査システムに関して述べたように、検査システムによる測定データの収集中にワークピースを支持するために、測定領域915内に支持機構が配置されてもよい。或いは、搬送機構914は、搬送チャンバの測定領域915内でワークピースの位置決め及び支持を提供してもよい。本発明の実施形態によれば、ワークピースは、処理シーケンス中、その測定領域に関連付けられた1つ以上の検査システムから測定データを取得するために、測定領域915内に又は測定領域915を通って移動させることができる。
図9Cでは例示のために単一の測定領域を示しているが、複数の測定領域915をTMM910’に組み込んでもよい。
【0130】
図9Dを参照すると、TMMモジュール710’は、測定領域915内に位置する1つ以上の検査システム930を組み込み、処理シーケンス中にリアルタイム測定値及び測定データを取得する能力を提供する。一実施形態では、TMM910’内の測定領域915は、チャンバ913内での測定のために機構914からワークピースを受け取る支持機構938を組み込む。測定データは、ワークピースが処理モジュール間を移動する際に捕捉される。或いは、上述のように、搬送機構又はロボット914は、TMM910’内の検査システム930に対してワークピースを移動させるための支持機構として実際に機能してもよい。また更に、TMM910’内の検査システム930はまた、静止したワークピースを組み込んでもよく、検査システム930自体が移動する。同様に、検査システム930は、支持機構の一部として組み込まれてもよい又は支持機構と共に埋め込まれてもよい。
【0131】
測定モジュール又は検査システム930は、測定を行うために、TMM910’内に全体的に収容されてもよい。他の実施形態では、測定モジュール又は検査システムの少なくとも(a least)一部分は、
図9Dに示すように、内部空間の専用領域内に測定領域を画定するように、TMM910’の内部空間の内部に配置されているが、他の部分は、TMM910’の外部に存在してもよい。より具体的には、測定領域915は、搬送チャンバ913の内部空間の専用領域内に画定され、位置する。検査システム930の信号源及び信号検出器要素は、搬送チャンバ内部空間913の外部に位置し得るが、ワークピース936を支持するためのワークピース支持機構938及び搬送機構914は、搬送チャンバ913内に収容されている。そのために、検査信号934は、検査システム930からの検査信号934の通過に対して事実上透過的である適切なアクセスポート942を通過し、内部空間913に入り、測定領域915内に配置されたワークピース936に係合する。上述したように、検査信号934は、電磁信号、光信号、粒子ビーム、荷電粒子ビーム、又はこのような信号のいくつかの組み合わせを含んでもよい。アクセスポート942は、特定の検査システム及び検査信号の供給源と共に動作するように適切に形成され得る。例えば、アクセスポート942は、入射する検査信号がワークピース936に係合できるようにするために、窓、開口、バルブ、シャッタ、及び絞り、又はアクセスポートを形成するための異なる構造体のいくつかの組み合わせを含んでもよい。そのために、検査システム930の少なくとも一部分は、概して、搬送チャンバ913の上面より上に位置してもよい。
【0132】
当業者であれば、更なる利点や修正が容易に想起されるであろう。したがって、本発明は、そのより広い態様において、図示及び記載された特定の詳細、代表的な装置及び方法、並びに説明的事例に限定されるものではない。したがって、一般的発明概念の範囲から逸脱しない限り、そのような詳細からの逸脱が行われてよい。